一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

在处理器中的推测性错误预测恢复中限制基于负载的控制无关(CI)指令的重放的制作方法

2022-02-22 07:23:54 来源:中国专利 TAG:

在处理器中的推测性错误预测恢复中限制基于负载的控制无关(ci)指令的重放
技术领域
1.本公开的技术一般涉及处理器的指令流水线中的控制流计算机指令(“指令”)的推测性预测,更具体地涉及控制流指令的推测性预测在执行时被解析为错误预测之后的错误预测恢复。


背景技术:

2.指令流水线化是一种处理技术,由此可以通过将每个指令的处置拆分成一系列步骤来增加由处理器执行的计算机指令的吞吐量。这些步骤在由多个阶段组成的执行流水线中被执行。如果执行流水线中的所有阶段都能够同时且顺序地处理指令—因为指令在(多个)指令流水线中被排序,则可以实现最优处理器性能。然而,在指令流水线中可能会发生结构性风险,其中无法执行下一条指令,否则会导致错误的计算结果。例如,控制风险可能是作为执行控制流指令引起处理器中的精确中断的结果而发生的。可以引起控制风险的控制流指令的一个示例是条件分支指令。条件控制指令,诸如条件分支指令,可以基于在执行控制分支指令的条件时所评估的条件来重定向指令执行的流路径。结果,处理器可能不得不暂停对附加指令的取指(fetch),直到已经执行了条件控制指令为止,从而导致处理器性能降低和功耗增加。
3.一种用于将处理器性能最大化的方法涉及利用预测电路来推测性地预测将控制指令执行流路径的条件的结果。例如,对是否将采取(take)条件分支指令的预测可以基于先前条件分支指令的分支预测历史。当控制流指令最终到达指令流水线的执行阶段并且被执行时,控制流指令的因而产生的目标地址通过将该目标地址与之前在控制流指令被取指时所预测的目标地址相比较而被验证。如果所预测的目标地址和实际的目标地址匹配,意味着做出了正确的预测,则指令执行中不会引发延迟,因为目标地址处的后续指令将已经被正确取指并且在条件分支指令到达指令流水线的执行阶段时已经存在于指令流水线中。但是,如果所预测的目标地址和实际的目标地址不匹配,则指令流水线中发生导致精确中断的被错误预测的分支风险。结果,指令流水线被冲刷并且指令流水线取指单元被重定向以取指从目标地址开始的新指令,从而导致延迟和性能降低。这也被称为“错误预测惩罚”。此外,执行流水线中的阶段可能保持休眠,直到新取指的指令通过指令流水线到达执行阶段为止,因此降低了性能。处理器中的指令处理中的错误预测会导致延迟和性能降低。
4.一种方法是通过利用控制无关性(ci)来降低错误预测惩罚。ci指的是不管指令控制流路径方向如何都执行的指令区域。换句话说,ci指令与分支的控制决策无关。这在以流程图102的形式图示出的图1中的指令流100中以示例方式示出。指令流100包括条件分支指令104。依赖于条件分支指令104中的条件(即谓词)的解析,指令执行流路径将采取流路径106(1)或流路径106(2)。处理器可以在条件分支指令104到达指令流水线中的执行阶段并继续之前推测性地预测谓词的结果,并且继续并将所预测的流路径中的指令插入到要被执行的指令流水线中以避免处理延迟。在指令流100的相应控制依赖(cd)区域110(1)、110(2)
中的两个相应指令控制流路径106(1)、106(2)中的一个指令控制流路径中的指令108(1)、108(2)是“控制依赖”(cd)指令108(1)、108(2)。cd指令是仅依赖于基于对条件分支指令104中的谓词的解析所采取的流路径106(1)、106(2)而被执行的指令。在指令流100中的控制无关(ci)区域114中还有其他指令112,其他指令112被插入到指令流水线中以得到执行,而不管作为来自条件分支指令104的结果采取了哪条指令控制流路径106(1)、106(2)。这些指令112也称为ci指令112。ci指令112可以基于它们对在相应cd区域110(1)或110(2)中采取的流路径106(1)、160(2)中的指令108(1)或108(2)的数据依赖性而进一步被分类。如果ci指令(例如,负载指令)依赖于由指令流100的cd区域110(1)或110(2)中的指令108(1)或108(2)(例如,存储指令)产生的数据,则ci指令是ci数据依赖(cidd)指令112d;否则,它是ci数据无关(cidi)指令112i。
5.当执行图1中的指令流100中的指令时所执行的ci技术要求对指令流100的ci区域114中的ci指令112之中的cidd指令的标识。这使得cidd指令112d可以作为错误预测恢复的一部分而被重新执行,以保障功能正确性。这是因为:虽然ci指令112中的cidd指令112d被插入到由处理器执行的指令流水线中,而不管所采取的流路径106(1)、106(2),但是基于条件分支指令104的推测性预测(speculative prediction)所执行的cd指令108(1)或108(2)将不会在错误预测恢复中被执行。相反,未基于条件分支指令104的推测性预测而被执行的cd指令108(1)或108(2)将在错误预测恢复中被执行。这意味着由基于推测性错误预测而被执行的cd指令108(1)、108(2)产生、并且由cidd指令112d消耗的的数据可能不准确。错误预测恢复有效地添加从在错误预测恢复中被执行的cd指令108(1)或108(2)的cd产生的数据。因此,错误预测恢复有效地“移除”了基于推测性错误预测而被执行的cd指令108(1)或108(2),从而有效地移除了它们的cd产生的数据。因此,基于推测性错误预测而被执行的任何基于负载的cidd指令112d可能已经基于被移除的已存储cd数据和/或被添加的其他存储cd数据。基于负载的指令是执行负载操作以将数据从存储器取回到寄存器中的任何指令。为了解决已经基于稍后在错误预测恢复中被移除的cd数据所执行的cidd指令112d的问题,处理器可以将所有基于负载的ci指令112标记为在错误预测恢复中被重新执行。以这种方式,影响基于负载的cidd指令112d的任何被添加的已存储cd数据将在错误预测恢复中重新执行基于负载的cidd指令112d时被使用。


技术实现要素:

6.本文公开的示例性方面包括在处理器中的推测性错误预测恢复中限制基于负载的控制无关(ci)指令的重放,以及相关的方法。处理器被配置为推测性地预测条件控制指令(例如,条件分支、条件调用、条件返回、分支表指令)的条件(即,谓词)的结果,以将所预测的指令控制流路径中的指令预取指到要被处理的指令流水线中以减少指令取指延迟。在示例性方面中,在错误预测恢复中,处理器被配置为将指令流水线中在基于存储的控制依赖(cd)指令与基于负载的ci指令之间具有存储转发数据依赖性的基于负载的ci指令标识为ci数据依赖(cidd)指令。cidd指令在错误预测恢复中被重放,因为这些指令是基于消耗来自不正确指令控制流路径中的基于存储的cd指令的被存储数据而执行的,并且因此所消耗的被存储数据可能已经是不准确的。cd指令是依赖于基于条件控制指令中的谓词的解析所采取的指令控制流路径来得到执行的指令。ci指令是不管作为条件控制指令的结果所采
取的指令控制流路径而被执行的指令。不基于从cd指令产生的数据来消耗数据的ci指令是ci数据无关(cidi)指令。基于来自cd指令的数据依赖性来消耗数据的ci指令是cidd指令。cidd指令可以具有寄存器依赖性,其中指令之间的依赖性经由寄存器的生产方和消耗方而被知晓。基于负载的cidd指令可以具有存储器依赖性,其中指令之间的依赖性基于生产方向消耗方所消耗的存储器位置产生结果。虽然在指令处理期间可以更容易地检查cidd指令的寄存器依赖性,但是基于负载的cidd指令的存储器依赖性可能更难以检查。因此,为了避免需要确定基于负载的ci指令是否具有要被重放的存储器依赖性,所有基于负载的ci指令,无论它们是cidd还是cidi指令,都可以在错误预测恢复中被分类为cidd指令以使这样的指令被重放。然而,这也会重放在被错误预测的指令控制流路径上不是存储器依赖的基于负载的cidi指令。因此,在本文公开的示例性方面中,仅在错误预测恢复中选择性地重放被标识为基于负载的cidd指令的基于负载的ci指令,这可以减少指令流水线中的执行延迟和功耗。
7.在这方面,在本文公开的示例性方面中,基于负载的ci指令在错误预测恢复中被标识为具有存储转发数据依赖性。存储转发数据依赖性是当从基于存储的指令所产生的存储在指令流水线中作为基于负载的指令中的被消耗负载而被转发时存在的依赖性。如果基于负载的ci指令在错误预测恢复中被标识为具有存储转发依赖性,这意味着当采取被错误预测的、不正确的指令控制流路径时,在错误预测恢复之前,产生要被基于负载的ci指令消耗的存储数据的该基于存储的指令在处理器中的指令流水线中的指令流中被执行。因此,在错误预测恢复中,具有与基于负载的ci指令的存储转发依赖性的基于存储的指令可能会产生不同的存储结果和/或可能从指令控制流路径中“移除”—因为它是在不正确地被采取的指令控制流路径中的基于存储的cd指令。被标识为在错误预测恢复中具有存储转发依赖性的基于负载的ci指令可以选择性地被指定为基于负载的cidd指令。基于负载的cidd指令在错误预测恢复期间被重放(即,被处理以重新执行),以避免基于负载的cidd指令消耗潜在的不正确负载,这是由于在错误预测恢复之前将来自基于存储的指令的存储结果转发到基于负载的ci指令而导致的。基于负载的ci指令的重放被限制重放被标识为基于负载的cidd指令的基于负载的ci指令。例如,这与不区分基于负载的cidi指令和基于负载的cidd指令而将在错误预测恢复中的所有基于负载的ci指令都标识为基于负载的cidd指令以进行重放相对。与在错误预测恢复中不加选择地重放所有基于负载的ci指令相对,在错误预测恢复中仅重放被标识为具有存储转发依赖性的基于负载的ci指令可以减少指令流水线中的执行延迟和处理器中的功耗。
8.在本文公开的示例中,在错误预测恢复中,响应于标识基于负载的ci指令和基于存储的指令之间的存储器依赖性,基于存储的cd指令和基于负载的ci指令之间的存储转发数据依赖性被标识。存储转发存储器依赖性可以基于标识消耗方基于负载的ci指令而被确定,该消耗方基于负载的ci指令消耗在如下存储器地址处的数据:该存储器地址与生产方基于存储的指令存储其产生的数据的存储器地址重叠。
9.在一个示例中,基于负载的ci指令和基于存储的指令之间的存储转发存储器依赖性基于确定由基于负载的ci指令所消耗的负载数据是否是“活跃”存储。活跃存储是来自处理基于存储的指令的存储操作,该存储在指令流水线中已经被转发到消耗的基于负载的指令,但是尚未被提交到存储器。非活跃存储是不再是推测性的并且可能已经被提交(即写
回)到存储器的存储操作。将基于活跃存储的基于负载的ci指令标识为基于负载的cidd指令以供重放是基于以下认识:即“被移除的”cd存储是用不正确的被存储数据执行cidd指令的原因。“被移除的”cd存储是在后来发现已经被错误预测的预测指令控制流路径(predicted instruction control flow path)中的基于存储的cd指令所产生的存储操作。对照而言,“被添加的”cd存储是由在作为错误预测恢复的结果而被执行的正确指令数据路径中“被添加的”的cd存储指令所产生的存储。在错误预测恢复中,作为加载和执行正确指令控制流路径的结果,被错误预测的指令控制流路径中的基于存储的cd指令有效地“被移除”。如果这样的基于负载的ci指令不被重放,则由基于负载的ci指令消耗的被移除的cd存储导致功能故障,因为不然,这样的基于负载的ci指令的、基于来自被错误预测的指令控制流路径的被移除的cd存储的先前执行否则将成立。如果基于活跃存储的数据来执行基于负载的ci指令,这意味着由基于负载的ci指令消耗的存储数据可能会在错误预测恢复中改变为尚未提交。因此,被标识为具有对活跃存储的存储器依赖性的基于负载的ci指令被标识为基于负载的cidd指令以供重放。如果基于负载的ci指令基于非活跃存储的数据被执行,这意味着所存储的数据被提交到存储器,则处理器不需要将这样的基于负载的ci指令标识为基于负载的cidd指令以供重放。这是因为只有在所预测的条件的谓词已经被解析为真时才提交被存储数据。如果由基于负载的ci指令消耗的已存储数据已经被提交,这意味着正确指令控制流路径中的基于存储的cd指令已经替换了由不正确的指令控制流路径中的基于存储的cd指令先前所存储的数据。因此,未基于活跃存储被执行的基于负载的ci指令被标识为基于负载的cidi指令并且不被重放。
10.重放被标识为基于负载的cidd指令的基于负载的ci指令也可以解析“被重放的”cidd存储。被重放的cidd存储是基于存储的cidd指令,其产生要被ci指令消耗的数据,并且因此在基于存储的cidd指令和消耗的ci指令之间存在存储转发数据依赖性。如果这样的基于负载的ci指令不被重放,则由基于负载的ci指令所消耗的重放的cidd存储引起功能故障,因为否则,这样的基于负载的ci指令的先前执行是基于来自被错误预测的指令控制流路径的cidd存储。因此,被标识为具有对活跃存储的存储器依赖性的基于负载的ci指令被标识为基于负载的cidd指令以供重放还解决了由于被重放的cidd存储而导致的数据不准确性。
11.通过确定较新的负载是否可能具有重叠的存储器地址,被添加的cd存储可以在错误预测恢复中在它们的执行中被处置。如果是,则认为这样的加载指令有故障,并且可以通过将指令流水线冲刷回到故障加载指令并且从故障加载指令重新开始程序执行来恢复(多个)指令流水线。然而,在本文公开的某些示例性方面,为了减少由于被添加的cd存储而导致的冲刷实例,错误预测恢复中基于负载的cidi指令的确定的活跃存储存储器依赖性可以被标记为要被重放的基于负载的cidd指令。基于负载的cidi指令的活跃存储存储器依赖性通常会通知基于负载的cidi指令被尚未执行的基于存储的指令转发的易感性的历史性质。
12.在这方面,在一个示例性方面,提供了一种处理器。该处理器包括指令处理电路,该指令处理电路包括一个或多个指令流水线。该指令处理电路被配置为:将多个指令从存储器取指到一个或多个指令流水线之中的指令流水线中,一个或多个指令流水线中的被取指的多个指令包括指令流,该指令流包括至少一个控制无关(ci)指令区域和多个控制依赖(cd)指令区域。该指令处理电路还被配置为推测性地预测指令流中的条件控制指令中的谓
词。该指令处理电路还被配置为:处理指令流中的被取指的指令,该被取指的指令包括多个cd指令区域之中的第一cd指令区域中的被取指的指令和ci指令区域中的基于负载的ci指令,该第一cd区域是基于推测性预测而被采取的。该指令处理电路还被配置为:基于确定指令流中的基于存储的指令是否指定由基于负载的ci指令消耗的转发存储,确定基于负载的ci指令是否是转发存储依赖(sfd)基于负载的ci指令。该指令处理电路还被配置为执行条件控制指令以解析条件控制指令的谓词。该指令处理电路还被配置为确定推测性预测是否与从条件控制指令的执行所解析的谓词匹配。响应于推测性预测与条件控制指令的执行所解析的谓词不匹配,指令处理电路还被配置为:处理指令流中的多个cd指令区域之中的第二cd指令区域中的被取指的指令和基于负载的ci指令,该第二cd指令区域是基于从条件控制指令的执行所解析的谓词而被采取的。响应于确定基于负载的ci指令是sfd基于负载的ci指令,指令处理电路被配置为重放已处理的基于负载的ci指令。
13.在另一个示例性方面,提供了一种将处理器中的指令流中的基于负载的控制无关(ci)指令指定为基于负载的ci数据依赖(dd)(cidd)指令以用于错误预测恢复中的重放的方法。该方法包括:将多个指令从存储器取指到一个或多个指令流水线之中的指令流水线中,一个或多个指令流水线中的被取指的多个指令包括指令流,该指令流包括至少一个控制无关(ci)指令区域和多个控制依赖(cd)指令区域。该方法还包括:推测性地预测指令流中的条件控制指令中的谓词。该方法还包括:处理指令流中的被取指的指令,该被取指的指令包括指令流中的多个cd指令区域之中的第一cd指令区域中的被取指的指令和ci指令区域中的基于负载的ci指令,该第一cd指令区域是基于推测性预测而被采取的。该方法还包括:基于确定指令流中的基于存储的指令是否指定由基于负载的ci指令消耗的转发存储,确定基于负载的ci指令是否是sfd基于负载的ci指令。该方法还包括执行条件控制指令以解析条件控制指令的谓词。该方法还包括确定推测性预测是否与从条件控制指令的执行所解析的谓词匹配。响应于推测性预测与条件控制指令的执行所解析的谓词不匹配,该方法还包括:处理指令流中的多个cd指令区域之中的第二cd指令区域中的被取指的指令和基于负载的ci指令,该第二cd指令区域是基于从条件控制指令的执行被解析的谓词而被采取的,并且响应于确定基于负载的ci指令是sfd基于负载的ci指令,重放已处理的基于负载的ci指令。
14.该处理器包括指令处理电路,该指令处理电路包括一个或多个指令流水线。该指令处理电路被配置为:将多个指令从存储器取指到一个或多个指令流水线之中的指令流水线中,一个或多个指令流水线中的被取指的多个指令包括指令流,该指令流包括至少一个控制无关(ci)指令区域和多个控制依赖(cd)指令区域。该指令处理电路还被配置为推测性地预测指令流中的条件控制指令中的谓词。该指令处理电路还被配置为:处理指令流中的被取指的指令,该被取指的指令包括多个cd指令区域之中的第一cd指令区域中的被取指的指令和ci指令区域中的基于负载的ci指令,该第一cd区域是基于推测性预测而被采取的。指令处理电路还被配置为确定基于负载的ci指令是否被预测为具有对指令流中的基于存储的指令的存储器依赖性。该指令处理电路还被配置为执行条件控制指令以解析条件控制指令的谓词。该指令处理电路还被配置为确定推测性预测是否与从条件控制指令的执行所解析的谓词匹配。响应于推测性预测与条件控制指令的执行所解析的谓词不匹配,指令处理电路还被配置为:处理指令流中的多个cd指令区域之中的第二cd指令区域中的被取指的
指令和基于负载的ci指令,该第二cd指令区域是基于从条件控制指令的执行所解析的谓词而被采取的,确定基于负载的ci指令是否具有作为存储转发依赖的(sfd)基于负载的ci指令的被预测的存储器依赖性,并且响应于确定基于负载的ci指令具有作为sfd基于负载的ci指令的被预测的存储器依赖性,将已执行的基于负载的ci指令作为基于负载的ci数据依赖(dd)(cidd)指令而重放。
15.本领域技术人员在结合附图阅读以下优选实施例的具体实施方式后将了解本公开的范围并且意识到其附加方面。
附图说明
16.并入在本说明书中并构成本说明书一部分的附图图示了本公开的若干方面,并且与说明书一起用于解释本公开的原理。
17.图1是流程图形式的指令流,图示了条件分支指令和依赖于从对条件分支指令的谓词的预测或解析所采取的指令控制流路径来执行的控制依赖(cd)指令,以及与从条件分支指令所采取的指令控制流路径无关地被执行的控制无关(ci)指令;
18.图2a是流程图形式的指令流,图示了来自基于存储的cd指令的cd存储,该基于存储的cd指令从所采取的不正确的预测指令控制流路径产生的,该cd存储被转发到基于负载的ci指令中的存储器依赖的ci负载;
19.图2b是在错误预测恢复中被处理的流程图形式的指令流,图示了来自图2a中的被错误地预测的指令控制流路径的cd存储的移除,这导致基于所采取的不正确的预测的指令控制流路径的、图2a中的基于负载的ci指令中的非法ci负载;
20.图3a是流程图形式的指令流,图示了来自基于存储的ci指令的ci数据依赖(cidd)存储,该基于存储的ci指令具有对来自如下基于存储的ci指令的cd存储的存储器依赖性:该基于存储的ci指令来自所采取的不正确的预测指令控制流路径,其中cidd存储被转发到基于负载的ci指令中的ci负载;
21.图3b是在错误预测恢复中被处理的流程图形式的指令流,图示了来自图3a中的不正确地预测指令控制流路径中的cd存储的移除,这导致基于所采取的不正确地预测指令控制流路径的在图3a中基于存储的ci指令中的非法cidd存储,从而导致图3a中的基于负载的ci指令中的非法ci负载;
22.图4a是流程图形式的指令流,图示了所采取的不正确的预测指令控制流路径和基于负载的ci指令中的ci数据无关(cidi)存储;
23.图4b是在错误预测恢复中处理的流程图形式的指令流,图示了在正确指令控制流路径中的基于存储的cd指令中被添加的cd存储,该cd存储具有与图4a中作为基于负载的cidd指令的基于负载的ci指令的存储器依赖性,如果基于负载的cidd指令已经被执行,则导致非法ci负载;
24.图5a是流程图形式的指令流,图示了所采取的不正确地被预测的指令控制流路径、基于存储的ci指令和基于负载的ci指令,该负载的ci指令对基于所采取的不正确的预测指令控制流路径的基于存储的ci指令不是存储器依赖的;
25.图5b是在错误预测恢复中被处理的流程图形式的指令流,图示了来自所采取的正确指令控制流路径中的基于存储的cd指令的被添加的cd存储,该cd存储与图5b中的基于存
储的ci指令具有存储器依赖性,其中作为来自基于存储的cd指令的cd存储的结果,图5b中的基于负载的ci指令与基于存储的ci指令具有存储器依赖性;
26.图6a是流程图形式的指令流,其图示了在ci指令流中的存储和基于负载的ci指令中的ci负载之间的存储转发存储器依赖性的跟踪,其遵循根据条件控制指令所采取的被预测的不正确的cd指令控制流路径,其中ci负载是从基于存储的cd指令中的cd存储和基于存储的ci指令中的ci存储被转发的;
27.图6b是流程图形式的指令流,其图示了基于基于负载的ci指令的ci负载被指定为cidd负载来在错误预测恢复中指定图6a中的ci指令流中的基于负载的ci指令以供重放;
28.图7是示例性基于处理器的系统的示意图,该系统包括处理器,该处理器具有用于处理计算机指令以供执行的一个或多个指令流水线,其中该处理器包括控制无关确定电路,该电路通信地耦合到指令流水线并且被配置为跟踪针对基于负载的ci指令中的ci负载的存储转发依赖性、以及在错误预测恢复中选择性地指定图6a中的ci指令流中的存储转发依赖的基于负载的ci指令以供重放;
29.图8是图示了处理器(诸如图7中的处理器)的示例性过程的流程图,该处理器跟踪针对基于负载的ci指令中的ci负载的存储转发依赖性、并且在错误预测恢复中选择性地指定ci指令流中的确定的存储转发依赖的基于负载的ci指令作为基于负载的cidd指令以供重放;
30.图9是图示了控制无关确定电路的示例性细节的示意图,该电路可以在图7的处理器中被提供,以跟踪针对基于负载的ci指令中的ci负载的存储转发依赖性、并且在错误预测恢复中选择性地指定图6a中的ci指令流中的存储转发依赖的基于负载的ci指令作为基于负载的cidd指令以供重放;
31.图10是由图9中的控制无关确定电路中的示例性存储转发跟踪电路控制的示例性存储转发跟踪存储器,其中该存储转发跟踪存储器被配置为按负载标识(id)来存储负载指令条目,并且针对每个负载id,存储负载指令所消耗的负载是否由存储指令所产生的存储转发的标识、以及任何这样的存储指令的id;
32.图11a是流程图形式的指令流,其图示了图9中的控制无关确定电路基于从基于存储的指令到ci指令流中的基于负载的ci指令的被转发的cd存储是在错误预测恢复中要被重放的活跃存储来跟踪存储转发依赖性;
33.图11b是流程图形式的指令流,用于图示了图9中的控制无关确定电路将图11a中具有对活跃存储的sfd的基于负载的ci指令指定为基于负载的cidd指令以供重放;
34.图12a是流程图形式的指令流,该基于存储的指令产生存储,该存储被转发用于遵循根据条件控制指令而采取的预测的不正确的cd指令控制流路径的ci指令流中的基于负载的ci指令的ci负载;
35.图12b是流程图形式的指令流,用于图示如果其转发存储指令id指示对应的基于存储的指令不比条件控制指令旧,则图9中的控制无关确定电路将图12a中的基于负载的ci指令指定为基于负载的cidd指令以供重放;
36.图13a是流程图形式的指令流,其图示了图9中的控制无关确定电路跟踪基于存储的指令的存储指令id,该基于存储的指令产生存储,该存储被转发用于遵循根据条件控制指令而被采取的被预测的不正确的cd指令控制流路径的ci指令流中的基于负载的ci指令
的ci负载;
37.图13b是流程图形式的指令流,用于图示如果其转发存储指令id不比最新近的非推测性基于存储的指令旧,则图9中的控制无关确定电路将图13a中的基于负载的ci指令指定为基于负载的cidd指令以供重放;
38.图14是图示了备选的控制无关确定电路的示例性细节的示意图,该电路可以在图7中的处理器中被提供,以跟踪针对基于负载的ci指令中的ci负载的存储转发依赖性,并且在错误预测恢复中将ci指令流中的存储转发依赖的基于负载的ci指令选择性地指定为基于负载的cidd指令以供重放;
39.图15是由图14中的控制无关确定电路中的示例性存储转发跟踪电路控制的示例性存储转发跟踪存储器,其中该存储转发跟踪存储器被配置为按负载id来存储负载指令条目,并且针对每个加载id,存储关于负载指令所消耗的负载是否由从存储指令所产生的存储转发的标识以及任何这样的存储指令的id;
40.图16是图示了备选的控制无关确定电路的示例性细节的示意图,该电路可以在图7中的处理器被提供,以跟踪具有被预测的存储转发依赖性的基于负载的ci指令中的ci负载,并且在错误预测恢复中将ci指令流中的被预测存储转发依赖的基于负载的ci指令选择性地指定为基于负载的cidd指令以供重放;
41.图17a是流程图形式的指令流,其图示了图16中的控制无关确定电路捕获遵循根据条件控制指令而被采取的被预测的不正确的cd指令控制流路径的ci指令流中的基于负载的ci指令的存储器依赖性预测,并且响应于指示依赖性预测的存储器依赖性预测将基于负载的ci指令标记为存储转发依赖的;
42.图17b是流程图形式的指令流,用于图示图16中的控制无关确定电路被配置为:确定图17a中的基于负载的ci指令是否被标记为具有存储转发依赖性,并且响应于基于负载的ci指令被标记为具有存储转发依赖性而将基于负载的ci指令标记为基于负载的cidd指令以供重放;和
43.图18是示例性基于处理器的系统的框图,该系统包括具有用于处理计算机指令以供执行的一个或多个指令流水线的处理器和控制无关确定电路,其中该处理器包括控制无关确定电路,该控制无关确定电路通信地耦合到指令流水线并且被配置为跟踪基于负载的ci指令中的ci负载的存储转发依赖性并且在错误预测恢复中将ci指令流中的存储转发依赖的基于负载的ci指令选择性地指定为基于负载的cidd指令以供重放,其中该处理器可以包括但不限于图7中的处理器,并且控制无关确定电路可以包括但不限于图9、图14和图16中的控制无关确定电路。
具体实施方式
44.本文公开的示例性方面包括在处理器中的推测性错误预测恢复中限制基于负载的控制无关(ci)指令的重放,以及相关的方法。处理器被配置为推测性地预测条件控制指令(例如,条件分支、条件调用、条件返回、分支表指令)的条件(即,谓词)的结果,以将所预测的指令控制流路径中的指令预取指到要被处理的指令流水线中以减少指令取指延迟。在示例性方面中,在错误预测恢复中,处理器被配置为将指令流水线中在基于存储的控制依赖(cd)指令与基于负载的ci指令之间具有存储转发数据依赖性的基于负载的ci指令标识
为ci数据依赖(cidd)指令。cidd指令在错误预测恢复中被重放,因为这些指令是基于消耗来自不正确指令控制流路径中的基于存储的cd指令的已存储数据而执行的,并且因此所消耗的已存储数据可能已经是不准确的。cd指令是依赖于基于条件控制指令中的谓词的解析所采取的指令控制流路径来得到执行的指令。ci指令是不管作为条件控制指令的结果所采取的指令控制流路径而被执行的指令。不基于从cd指令产生的数据来消耗数据的ci指令是ci数据无关(cidi)指令。基于来自cd指令的数据依赖性来消耗数据的ci指令是cidd指令。cidd指令可以具有寄存器依赖性,其中指令之间的依赖性经由寄存器的生产方和消耗方而被知晓。基于负载的cidd指令可以具有存储器依赖性,其中指令之间的依赖性基于生产方向消耗方所消耗的存储器位置产生结果。虽然在指令处理期间可以更容易地检查cidd指令的寄存器依赖性,但是基于负载的cidd指令的存储器依赖性可能更难以检查。因此,为了避免需要确定基于负载的ci指令是否具有要被重放的存储器依赖性,所有基于负载的ci指令,无论它们是cidd还是cidi指令,都可以在错误预测恢复中被分类为cidd指令以使这样的指令被重放。然而,这也会重放在被错误预测的指令控制流路径上不是存储器依赖的基于负载的cidi指令。因此,在本文公开的示例性方面中,仅在错误预测恢复中选择性地重放被标识为基于负载的cidd指令的基于负载的ci指令,这可以减少指令流水线中的执行延迟和功耗。
45.在这方面,在本文公开的示例性方面中,基于负载的ci指令在错误预测恢复中被标识为具有存储转发数据依赖性。存储转发数据依赖性是当从基于存储的指令所产生的存储在指令流水线中作为基于负载的指令中的被消耗负载而被转发时存在的依赖性。如果基于负载的ci指令在错误预测恢复中被标识为具有存储转发依赖性,这意味着当采取被错误预测的、不正确的指令控制流路径时,在错误预测恢复之前,产生要被基于负载的ci指令消耗的存储数据的该基于存储的指令在处理器中的指令流水线中的指令流中被执行。因此,在错误预测恢复中,具有与基于负载的ci指令的存储转发依赖性的基于存储的指令可能会产生不同的存储结果和/或可能从指令控制流路径中“移除”—因为它是在不正确地被采取的指令控制流路径中的基于存储的cd指令。被标识为在错误预测恢复中具有存储转发依赖性的基于负载的ci指令可以选择性地被指定为基于负载的cidd指令。基于负载的cidd指令在错误预测恢复期间被重放(即,被处理以重新执行),以避免基于负载的cidd指令消耗潜在的不正确负载,在错误预测恢复之前由于基于存储的指令的存储结果转发到基于负载的ci指令而导致的。基于负载的ci指令的重放被限制重放被标识为基于负载的cidd指令的基于负载的ci指令。例如,这与不区分基于负载的cidi指令和基于负载的cidd指令而将在错误预测恢复中的所有基于负载的ci指令都标识为基于负载的cidd指令以供重放相对。与在错误预测恢复中不加选择地重放所有基于负载的ci指令相对,在错误预测恢复中仅重放被标识为具有存储转发依赖性的基于负载的ci指令可以减少指令流水线中的执行延迟和处理器中的功耗。
46.在本文公开的示例中,在错误预测恢复中,响应于标识基于负载的ci指令和基于存储的指令之间的存储器依赖性,基于存储的cd指令和基于负载的ci指令之间的存储转发数据依赖性被标识。存储转发存储器依赖性可以基于标识消耗方基于负载的ci指令来确定,该消耗方基于负载的ci指令消耗如下存储器地址处的数据:该存储器地址在与生产方基于存储的指令存储其产生的数据的存储器地址重叠。
47.为了图示基于存储的cd指令和作为cidd指令的基于负载的ci指令之间的存储转发数据依赖性,提供了图2a和图2b。图2a是流程图形式的指令流200,图示了来自基于存储的cd指令202的cd存储,该基于存储的cd指令202从所采取的不正确的预测指令控制流路径(“cd预测路径204”)产生。指令流200是基于加载到处理器中的计算机指令的指令集或列表在处理器中被处理的指令的集合。当采取预测的指令控制流路径时,来自基于存储的cd指令202的cd存储被转发到基于负载的ci指令206中的存储器依赖的ci负载。因此,当采取预测指令控制流路径时,基于负载的ci指令206具有对基于存储的cd指令202的存储转发依赖性。存储转发数据依赖性或“存储转发依赖性”(sfd)是当基于存储的指令产生的存储在指令流水线中作为基于负载的指令中的被消耗负载而被转发时存在的依赖性。图2a中的指令流200包括由执行指令流200中的指令的处理器评估的条件分支指令208。处理器可以被配置为在条件分支指令208的条件或谓词在条件分支指令208的执行中完全被评估之前预测条件分支指令208的结果以避免指令流水线中的延迟。图2a图示了对导致所采取的分支的条件分支指令208的评估的预测。指令流200中作为所采取分支的结果而在指令控制流路径中被处理的指令是cd指令,这意味着这些指令的处理的控制依赖于条件分支指令208的评估结果的预测。不论采取何种分支而在指令控制流路径中被处理的指令流200是ci指令,这意味着它们的处理中的控制不依赖于条件分支指令208的评估结果的预测。
48.在图2a的示例中,指令流200的分支采取路径是cd预测路径204,该路径包括cd指令区域211中的cd指令210,cd指令区域211包括基于存储的cd指令202。基于存储的cd指令202是cd指令,因为它在要被处理器执行的指令流200中的插入依赖于条件分支指令208的评估结果。在该示例中,基于存储的cd指令202将

x’存储在存储器位置[a]。指令流200中存在跟随cd预测路径204中的cd指令210之后的指令,该指令是ci指令流路径214(“ci路径214”)中的ci指令区域213中的ci指令212。ci指令212包括基于负载的ci指令206,基于负载的ci指令206将存储器位置[a]处的数据为加载到

y’。例如,处理器可以能够识别基于负载的ci指令206和基于存储的cd指令202之间的存储器依赖性,并且在基于存储的cd指令202的存储结果被提交(即,写入)到存储器位置[a]之前,将来自处理基于存储的cd指令202的

x’的存储结果转发到

x’作为基于负载的ci指令206的目标。由于cd预测路径204中的基于存储的cd指令202要求将

x’存储到存储器位置[a]中,并且基于负载的ci指令206的负载源也是存储器位置[a],因此当基于存储的cd指令202和基于负载的ci指令206被执行时,

y’最终将是

x’。因此,基于负载的ci指令206被认为是cidd指令,其对由基于存储的cd指令202(其是cd指令210)产生的存储是数据依赖的。
[0049]
然而,如图2b中的指令流216中所示,如果在条件分支指令208的执行期间,确定条件分支指令208的条件实际上被评估为未采取的指令控制流路径218是实际路径(“实际路径218”),这意味着更早在预测要采取条件分支指令208的条件时发生了错误预测。然后将由处理器在错误预测恢复中执行指令流216,其中未采取的实际路径218被执行。因此,未采取的实际路径218中的指令也是cd指令,因为它们对条件分支指令208的结果是控制依赖的。如图2b中所示,相同的基于负载的ci指令206存在于ci路径214中,因为ci路径214包括无论结果条件分支指令208评估结果如何都被执行的ci指令212。然而,在该示例中,图2a中的cd预测路径204中的cd指令210中存在的基于存储的指令202在图2b中的实际路径218中的cd指令中不存在。因此,基于存储的指令202在错误预测恢复中被有效地“移除”,使得先
前被存储的

x’数据仍然被存储在存储器位置[a],但是如果没有从指令流200中先前所采取和处理的不正确的cd预测路径204中的cd指令210,该

x’数据不会已经被存储。这导致将存储器位置[a]向基于负载的ci指令206的非法转发。虽然在指令处理期间可以更容易地确定cidd指令的寄存器依赖,以确定是否应在错误预测恢复中重放cidd指令,但是更难的是确定基于负载的cidd指令是否具有对cd指令的存储器依赖性。因此,为了避免需要确定基于负载的ci指令是否具有要被重放的存储器依赖性,处理器可以被配置为将所有ci指令212指定为在图2b中的指令流216中所图示的错误预测恢复中要被重放的cidd指令,以避免这种潜在的非法负载条件。
[0050]
基于存储的cd指令还可以具有与中间基于存储的ci指令的数据依赖性,该中间基于存储的ci指令也具有与基于负载的ci指令的存储器依赖性,这也导致向基于负载的ci指令的数据非法转发。在这方面,图3a是流程图形式的指令流300,图示了来自基于存储的cd指令302的cd存储,该基于存储的cd指令由所采取的不正确的预测指令控制流路径(“cd预测路径304”)产生,该cd存储被转发到基于存储的ci指令306中的存储器依赖的ci存储。图3a中的指令流300包括条件分支指令308。指令流300的分支采取路径是cd预测路径304,其包括cd指令区域311中的cd指令310,cd指令区域311包括基于存储的cd指令302。在该示例中,基于存储的cd指令302将

a 1’的结果存储在存储器位置[a]中。ci指令区域313中的ci指令控制流路径314(“ci路径314”)中的ci指令312包括基于存储的ci指令306,其依赖于基于存储的cd指令302中的存储操作

a=a 1’来将

x’存储到存储器位置[a]。基于负载的ci指令316将存储器位置[b]中的数据加载为

y’。因此,如果a=b,这会导致

x’到

y’的存储到负载转发,这使基于存储的cd指令302和基于负载的ci指令316之间存在存储器依赖性。因此,ci路径314中的基于负载的ci指令316是受条件分支指令308的评估结果影响的基于cidd负载的指令。
[0051]
然而,如图3b中的指令流318中所示,如果在条件分支指令308的执行期间,确定条件分支指令208的条件实际上被评估为将未采取的cd指令控制流路径320作为cd实际路径(“cd实际路径320”),这意味着稍早在预测要采取条件分支指令308的条件时发生了错误预测。然后将由处理器在错误预测恢复中执行指令流318,其中未采取的cd实际路径320被执行。如图3b中所示,相同的基于存储的ci指令306和基于负载的ci指令316存在于ci路径314中,因为ci路径314包括无论结果条件分支指令308评估结果如何都被执行的ci指令312。然而,在该示例中,在图3a中的cd预测路径304中的cd指令310中存在的基于存储的cd指令302不存在于图3b中的cd实际路径320中的cd指令区域323中的cd指令322中。因此,基于存储的cd指令302在错误预测恢复中有效地“被移除”,使得产生的已存储数据

a 1’仍然被存储在

a’中,但是如果没有从指令流300中先前所采取和处理的不正确的cd预测路径304中的cd指令310,该数据不会已经被存储。这导致错误预测恢复中基于负载的ci指令316中的

x’被存储在存储器位置

a’中,当

b’不应等于

a’时导致b=a,从而作为基于负载的ci指令316的执行结果,导致

x’被非法加载为

y’。因此,处理器可以被配置为将ci指令区域313中的所有ci指令312指定为将在图图3b中的指令流318中所图示的错误预测恢复中要被重放的cidd指令,以避免这种潜在的非法负载条件。
[0052]
基于由错误预测恢复中的条件控制指令的执行导致的正确指令控制流路径中的“被添加的”cd存储,基于存储的cd指令还可以具有与基于负载的ci指令的数据依赖性。在
这方面,图4a是流程图形式的指令流400,其图示了ci指令控制流路径406(“ci路径406”)中的ci指令区域405中的ci指令404中的基于负载的ci指令402,基于负载的ci指令402将在存储器位置[a]处的数据加载为

y’。然而,当从条件分支指令410中采取cd预测指令控制流路径408(“cd预测路径408”)时,基于负载的ci指令402是基于负载的ci数据无关(cidi)指令。这是因为在cd预测路径408中的cd指令区域413中没有cd指令412具有与基于负载的ci指令402的数据依赖性,如图4a中所示。然而,如图4b中的指令流414中所示,如果在条件分支指令410的执行期间,确定条件分支指令410的条件实际上被评估为未采取的cd实际指令控制流路径416(“cd实际路径416”),这意味着更早在预测要采取条件分支指令410的条件时发生了错误预测。指令流414的cd实际路径416中的cd指令区域419中的cd指令418然后将由处理器在错误预测恢复中执行。
[0053]
如图4b中所示,基于负载的ci指令402存在于ci路径406中。然而,在存储器位置[a]处存储

x’的基于存储的cd指令420是在cd实际路径416中的cd指令418中。基于负载的ci指令402将存储器位置[a]处的数据加载为

y’,并且因此当采取cd实际路径416时是cidd指令。因此,如果当作为图4a中的条件控制指令410的错误预测的结果而被采取cd预测路径408时作为被处理的结果而已经执行了基于负载的ci指令402,则处理器可以被配置为:检测被添加的基于存储的cd指令420具有与基于负载的ci指令402的存储转发存储器依赖性,并且使指令流水线被冲刷和被重新执行以正确地将

x’加载为

y’。
[0054]
在错误预测恢复中被添加的基于存储的cd指令也可以具有与中间基于存储的ci指令的数据依赖性,该中间基于存储的ci指令具有与基于负载的ci指令的存储器依赖性,这也导致向基于负载的ci指令的数据非法转发。在这方面,图5a是流程图形式的指令流500,其图示了ci指令控制流路径506(“ci路径506”)中的ci指令区域505中的ci指令504中的基于负载的ci指令502,基于负载的ci指令502将在存储器位置[b]处的数据加载为

y’。ci指令504还包括基于存储的ci指令508,基于存储的ci指令508将

x’存储到存储器位置[a]中。cd指令区域513中的cd指令512中不存在与基于存储的ci指令508和基于负载的ci指令502是存储器依赖的指令(两者因此都是cidi指令)。因此,如果

b’不等于

a’,则

y’将不会在基于负载的ci指令502中被加载有

x’。
[0055]
然而,如图5b中的指令流516中所示,如果在条件控制指令510的执行过程中,确定条件控制指令510的条件实际上被评估为未采取的cd实际指令控制流路径518(“cd实际路径518”),这意味着更早当预测要采取条件控制指令510的条件时发生了错误预测。指令流516的cd实际路径518中的cd指令区域521中的cd指令520然后将由处理器在错误预测恢复中执行。图5b中的cd指令520包括将

a 1’存储为

a’的基于存储的cd指令522。因此,基于存储的ci指令508具有与基于存储的cd指令522的存储器依赖性,使得如果采取cd实际路径518,则基于存储的ci指令508是cidi指令,与图5a中的cd预测路径514相对。因此,如果基于存储的cd指令522的操作使

a’=

b’,则基于存储的ci指令508对基于存储的cd指令522的存储转发依赖性将使

x’被转发到

y’,从而

y’=

x’。因此,如果当作为图5a中的条件控制指令510的错误预测的结果而被采取cd预测路径514时作为被处理的结果而已经执行了基于负载的ci指令502,则处理器可以被配置为:检测被添加的基于存储的cd指令522具有与基于负载的ci指令502的存储转发存储器依赖性,并且使指令流水线被冲刷和被重新执行。
[0056]
在以上图2a-图5b中的示例中的每个示例中,指令流水线被冲刷并且所有ci指令
在错误预测恢复中被重新执行以避免各种非法负载场景。这些非法负载场景可能是基于存储的cd指令的被移除和/或被添加的cd存储造成的,该基于存储的cd指令在作为条件控制指令错误预测的结果而被采取的cd预测路径和/或条件控制指令错误预测之后采取的cd实际路径中。这会导致指令流水线中的执行延迟和功耗增加,因为在错误预测恢复中所采取的cd实际路径中的ci指令并非全部都是cidd指令。例如,在被移除的cd存储的情况下,这些ci指令中的一些ci指令可能不具有对cd预测路径中的cd指令的存储转发数据依赖性,并且因此是cidi指令。cidi指令不必在错误预测恢复中被重新执行,因为cidi指令与根据条件控制指令所采取的的控制指令控制流路径是控制无关并且数据无关的。
[0057]
在这方面,在本文公开的示例中,在错误预测恢复中标识基于负载的ci指令以确定基于负载的ci指令是否具有存储转发数据依赖性,也被称为存储转发依赖性(sfd)。如果基于负载的ci指令在错误预测恢复中被标识为具有存储转发依赖性,则这意味着产生要被基于负载的ci指令消耗的转发存储数据的基于存储的指令在作为采取被错误预测的不正确的指令控制流路径的结果的指令流中被执行。因此,在错误预测恢复中,具有与基于负载的ci指令的存储转发依赖性的基于存储的指令可能会产生不同的存储结果和/或从指令控制流路径中“被移除”,因为它在不正确的被采取的指令控制流路径中是基于存储的cd指令。被标识为具有存储转发依赖性的基于负载的ci指令可以被选择性地指定为基于负载的cidd指令。然后基于负载的cidd指令可以被指定为在错误预测恢复期间由处理器重放(即重新执行),以避免基于负载的cidd指令消耗潜在的不正确负载—这是由于在错误预测恢复之前转发来自基于存储的指令的存储结果而导致的。基于负载的ci指令的重放可以被限制为重放被标识为基于负载的cidd指令的基于负载的ci指令。例如,这与不区分基于负载的cidi指令和基于负载的cidd指令而在错误预测恢复中的将所有基于负载的ci指令都标识为基于负载的cidd指令以供重放相对。与在错误预测恢复中不加选择地重放所有基于负载的ci指令相对,在错误预测恢复中仅重放被标识为具有存储转发依赖性的基于负载的ci指令可以减少指令流水线中的执行延迟和处理器中的功耗。
[0058]
在这方面,图6a和图6b分别是流程图形式的指令流600、602,其图示了在ci指令流中的存储和基于负载的ci指令中的ci负载之间的存储转发存储器依赖性的跟踪。存储转发存储器依赖性的跟踪遵循根据条件控制指令606(诸如条件分支指令)所采取的预测的不正确的cd指令控制流路径(“cd预测路径604”)以及在错误预测恢复期间所采取的实际的正确的cd指令控制流路径608(“cd实际路径608”)。图6a中的指令流600包括在指令流600中到达条件控制指令606之前的预分支指令控制流路径612(“预分支路径612”)中的ci指令区域611中的预分支指令610。因此,预分支指令610是ci指令。预分支指令610包括基于存储的ci指令614,基于存储的ci指令614将

w’存储到存储器位置[b]中。然后,如图6a中所示,作为将条件控制指令606中的条件预测为导致采取分支的结果,指令流600包括在cd预测路径604中的cd指令区域617中的cd指令616。cd预测路径604包括基于存储的cd指令618,基于存储的cd指令618将

x’存储到存储器位置[a]中。如图6a中还所示的,指令流600包括ci指令控制流路径622(“ci路径622”)中的ci指令区域621中的ci指令620,ci指令620不管条件控制指令606中的条件的预测或评估结果如何都被执行。ci指令620包括基于负载的ci指令624,基于负载的ci指令624将存储器位置[b]中的数据加载为

z’。ci指令620还包括基于负载的ci指令626,基于负载的ci指令626将存储器位置[a]中的数据加载为

y’。因此,基于负
载的ci指令624、626分别对由预分支路径612中的基于存储的ci指令614产生的ci存储和cd预测路径604中的基于存储的cd指令618产生的ci存储是存储转发依赖的,因为基于存储的ci指令614和基于存储的cd指令618的执行分别影响被加载到存储器地址[a]和[b]中的数据,存储器地址[a]和[b]然后被用作基于负载的ci指令624、626的源存储器地址位置。
[0059]
在这方面,在图6a中所图示的示例中,处理器可以被配置为跟踪ci路径622中的基于负载的ci指令(诸如基于负载的ci指令624、626)是否具有对基于存储的指令的存储转发依赖性(sfd)。在该示例中,当采取cd预测路径604时,基于负载的ci指令624、626对基于存储的ci指令614和基于存储的cd指令618具有sfd。处理器可以被配置为跟踪存储何时作为存储转发负载(sfl)而被转发到基于负载的ci指令624、626,使得基于负载的ci指令624、626是cidd指令。因此,如果当条件控制指令606的条件在执行中被解析时cd预测路径604被确定已经被错误预测,并且图6b中的cd实际路径608被采取为错误预测恢复,则处理器可以确定指令流602中是否有任何基于负载的ci指令(包括基于负载的ci指令624、626)被指定为cidd指令。例如,作为默认指定,所有基于负载的ci指令可以被指定为cidi指令,除非基于负载的ci指令被确定为具有sfd,在这种情况下基于负载的ci指令被指定为cidd指令。对于被指定为cidd指令的任何基于负载的ci指令,处理器可以被配置为当如图6b中所示采取cd实际路径608时在错误预测恢复中重放(即,重新执行)以使得基于负载的ci指令被重新执行。在该示例中,基于负载的ci指令624、626会通过在采取图6a中的cd预测路径604时所确定的它们的sfd而被指定为cidd指令,并且然后在采取图6b中的cd实际路径608时作为cidd指令被重放。
[0060]
图7是包括处理器702的示例性基于处理器的系统700的示意图。如下文更详细讨论的,处理器702被配置为跟踪基于负载的ci指令中的ci负载的存储转发数据依赖性,以将存储转发数据依赖的基于负载的指令指定为基于负载的cidd指令。处理器702还被配置为在错误预测恢复中将重放基于负载的ci指令限制为ci指令流中被指定为基于负载的cidd指令的那些指令。
[0061]
作为示例,处理器702可以是有序或乱序处理器(oop)。处理器702包括指令处理电路704。指令处理电路704包括指令取指电路708,指令取指电路708被配置为从指令存储器710取指指令706。作为示例,指令存储器710可以在基于处理器的系统700中的系统存储器中被提供或者作为系统存储器的一部分被提供。还可以在处理器702中提供指令高速缓存712来对从指令存储器710取指的指令706进行高速缓存,以减少指令取指电路708中的时延。该示例中的指令取指电路708被配置为:将指令706作为被取指的指令706f提供给一个或多个指令流水线i
0-in中作为指令处理电路704中的指令流714,以在被取指的指令706f到达执行电路734被执行之前被预处理。指令流714中的被取指的指令706f包括生产方指令和消耗方指令,消耗方指令消耗作为指令处理电路704执行生产方指令的结果所产生的值。指令流水线i
0-in跨指令处理电路704的不同处理电路或阶段而被提供以在一系列步骤中对被取指的指令706f进行预处理和处理,这些步骤可以同时被执行以在被取指的指令706f在执行电路734中的执行之前增加吞吐量。例如,在指令流714中被标识为具有存储转发负载的基于存储的被取指的指令706f可以在被执行之前由指令处理电路704标识,以被转发来由基于消耗的基于负载的被取指的指令706f消耗。
[0062]
图7中的处理器702中的指令处理电路704中还提供了控制流预测电路716(例如,
分支预测电路),以推测或预测被取指条件控制指令706f(诸如条件分支指令)的谓词的结果,该结果影响在指令流水线i
0-in中被处理的取指指令706f的指令流714的指令控制流路径。控制流预测电路716的预测可以由指令取指电路708用来基于被预测的目标地址确定要取指的下一个被取指的指令706f。指令处理电路704还包括指令译码电路718,指令译码电路718被配置为将指令取指电路708所取指的被取指的指令706f译码成经译码指令706d,以确定指令类型和所需动作,其也可以被用来确定经译码指令706d应被放置在哪个流水线i
0-in中。例如,指令取指电路708被配置为取指在条件控制指令706之后的cd指令区域中的cd指令706和在cd指令区域之前或之后的ci指令区域中的ci指令706。经译码指令706d被放置在指令流水线i
0-in中的一个或多个指令流水线中、并且接下来被提供给指令处理电路704中的重命名电路720。重命名电路720被配置为确定在经译码指令706d中是否有任何寄存器名称需要被重命名以打破会阻止并行或乱序处理的任何寄存器依赖性。重命名电路720被配置为调用寄存器映射表(rmt)722来重命名逻辑源寄存器操作数(operand)和/或将经译码指令706d的目的地寄存器操作数写入到物理寄存器文件(prf)726中的可用物理寄存器724(1)-724(x)(p0、p1、

、p
x
)。寄存器映射表(rmt)722包含多个映射条目,每个映射条目被映射到(即,关联到)相应的逻辑寄存器r
0-r
p
。映射条目被配置为以地址指针的形式存储信息,以指向物理寄存器文件(prf)726中的物理寄存器724(1)-724(x)。物理寄存器文件(prf)724中的每个物理寄存器724(1)-724(x)x))包含数据条目,该数据条目被配置为存储针对经译码指令706d的源和/或目的地寄存器操作数的数据。
[0063]
图7中的处理器702中的指令处理电路704在分派电路730之前还包括寄存器访问电路728。寄存器访问电路728被配置为:基于被映射到经译码指令706d的源寄存器操作数的寄存器映射表(rmt)722中的逻辑寄存器r
0-r
p
的映射条目,访问物理寄存器文件(prf)726中的物理寄存器724(1)-724(x),以取回从执行电路734中被执行的指令706e产生的值。寄存器访问电路728还被配置为提供所取回的从被执行的经译码指令706e产生的值,作为要被执行的经译码指令706d的源寄存器操作数。另外,在指令处理电路704中,分派电路730在指令流水线i
0-in中被提供,并且被配置为将经译码指令706d分派给执行电路734以在经译码指令706d的所有源寄存器操作数可用时被执行。例如,分派电路730负责确保在将经译码的消耗方指令706d分派给执行电路734以供执行之前经译码的消耗方指令706d的操作数的必要值是可用的。经译码指令706d的操作数可以包括立即值、被存储在存储器中的值、以及从其他经译码指令706d产生的值,这些其他经译码指令706d将被视为对消耗方指令的生产方指令。执行电路734被配置为执行从分派电路730接收的经译码指令706d。在指令处理电路704中还提供写电路732以将来自已执行指令706e的产生值写回或提交到存储器,诸如物理寄存器文件(prf)726、高速缓存存储器或系统存储器。
[0064]
如上面所讨论的,指令流714可以具有条件控制指令,该条件控制指令的谓词由控制流预测电路716推测性地预测。这样的预测被用来确定采取哪个分支来处理特定的cd指令区域以在条件控制指令之后的指令流水线i
0-in中的指令流714中处理。如上面所讨论的,基于条件控制指令706的谓词评估的预测来处理预测指令控制流路径中的cd指令706。不管作为预测条件分支指令706的谓词结果的结果而采取哪条指令控制流路径,在指令流714中的(多个)ci指令区域中还存在其他ci指令706,这些其他ci指令706被插入在指令流水线i
0-in中以得到执行。这些指令706也被称为ci指令706。这些ci指令可以基于它们对指令流
714中的cd区域中的cd的数据依赖性而被进一步分类。例如,如果负载ci指令706依赖于由基于存储的cd指令706存储的数据,则ci指令是对基于存储的cd指令706的cidd;否则,它是对基于存储的cd指令706的cidi。
[0065]
指令处理电路704被配置为执行由控制流预测电路716推测性地预测的执行电路734中的指令流714中的条件控制指令706d,以解析条件控制指令706d的谓词并且确定推测性预测与从条件控制指令706d的执行所解析的谓词是否匹配。如果当条件控制指令706的谓词在由执行电路734进行的执行中被解析时,指令处理电路704确定条件控制指令706被错误预测,则指令处理电路704被配置为执行错误预测恢复。在错误预测恢复中,指令处理电路704可以被配置为将指令流714中的指令706重放回到条件控制指令706,同时将cd指令包括在来自条件控制指令706的解析的实际正确的指令控制流路径中。因此,基于推测性错误预测而被处理或被执行的基于负载的cidd指令706可能已经基于已移除的被存储cd数据和/或被添加的其他存储cd数据。
[0066]
为了解决基于稍后在错误预测恢复中被移除的cd数据而已经被执行的cidd指令706的问题,该示例中的指令处理电路704包括控制无关确定电路736。控制无关确定电路736被配置为:基于从指令处理电路704中的电路(诸如分派电路730)接收的信息,确定被处理的基于负载的ci指令706d对指令流水线i
0-in中的指令流714中的基于存储的指令706d是否是存储转发依赖的。控制无关确定电路736被配置为:基于确定指令流714中的基于存储的指令706所产生的存储是否被转发到基于负载的ci指令706以供消耗,确定基于负载的ci指令706对基于存储的指令是否是sfd的。控制无关确定电路736被配置为将基于负载的ci指令706d对基于存储指令是否是sfd的传达给执行电路734。如果执行电路734在条件控制指令706d的执行期间确定由控制流预测电路716进行的推测性预测与在条件控制指令706d的执行中所解析的谓词不匹配,则指令处理电路704被配置为:在错误预测恢复中处理指令流714中的第二cd指令区域中的被取指的指令706f,第二cd指令区域是基于从条件控制指令706d的执行中所解析的谓词而被采取的。在指令处理电路704中与条件控制指令706d的解析的控制流无关地执行基于负载的ci指令706d。如果,执行电路734基于从控制无关确定电路736接收到的关于基于负载的指令的信息而确定基于负载的ci指令706d对执行电路734的基于存储的指令是sfd的,则执行电路734将在错误预测恢复中重放(即,重新执行)已处理的基于负载的ci指令706d。重放经译码指令706d意味着执行先前在指令处理电路704中被处理和/或执行的经译码指令706d。
[0067]
然而,如果基于负载的ci指令706d对基于存储的指令不是sfd的,则执行电路734将不重新执行已处理的基于负载的ci指令706d。例如,控制无关确定电路736可以被配置为将未被确定为对基于存储的指令是sfd的基于负载的ci指令706d指定为cidd指令。执行电路734可以被配置为在错误预测恢复中不重放(即,重新执行)被指定为cidi指令的已处理的基于负载的ci指令706d。以这种方式,处理器702被配置为:选择性地将被确定为对基于存储的指令具有sfd的基于负载的ci指令706d指定为要被重放的cidd指令,而不是在错误预测恢复中不加选择地重放所有基于负载的ci指令,从而减少由指令流714中的cidi指令产生的延迟,这些cidi指令的负载数据将不基于错误预测恢复而改变,并且因此作为结果降低功耗。
[0068]
如先前关于图6a和图6b中的示例指令流600、602所讨论的,向基于负载的ci指令
提供sfl的基于存储的指令可以是基于存储的ci指令或基于存储的cd指令,并且因此基于负载的ci指令被视为对基于存储的指令是sfd的。控制无关确定电路736可以被配置为:确定基于负载的ci指令是对基于存储的ci指令或基于存储的cd指令是sfd的,还是仅对基于存储的cd指令。在后一种情况下,执行电路734将仅重放对基于存储的cd指令是sfd的基于负载的ci指令。
[0069]
为了进一步说明图7中的处理器702中的指令处理电路704的示例性操作,该示例性操作选择性地将被确定为对基于存储的指令具有sfd的基于负载的ci指令706d指定为将在错误预测恢复中被重放的cidd指令,提供了图8。图8是图示了处理器(诸如图7中的处理器702)的示例性过程800的流程图,该过程跟踪指令流中的基于负载的ci指令中的ci负载的sfd、并且选择性地在错误预测恢复中将指令流中确定的sfd基于负载的ci指令指定为基于负载的cidd指令以供重放。以图7中的处理器702为例讨论图8中的过程800。
[0070]
在这方面,如图8中所图示,过程800包括:指令处理电路704的指令取指电路708从存储器710、712将多个指令706取指到一个或多个指令流水线i
0-in之中的指令流水线i
0-in,一个或多个指令流水线i
0-in中的被取指的多个指令706包括指令流714,该指令流714包括至少一个ci指令区域和多个cd指令区域(框802)。过程800还包括:指令处理电路704推测性地预测指令流714中的条件控制指令706d中的谓词(框804)。过程800还包括:指令处理电路704处理指令流714中的被取指的指令706f,该被取指的指令706f包括基于指令流714中的多个cd指令区域之中的第一cd指令区域中的被取指的指令706f和ci指令区域中的基于负载的ci指令,该第一cd指令区域是基于推测性预测而被采取的(框806)。过程800还包括:控制无关确定电路736基于确定由指令流中的基于存储的指令706d产生的存储是否被转发给基于负载的ci指令706d以供消耗来确定基于负载的ci指令706d是否是sfd基于负载的ci指令706d(框808)。过程800还包括:指令处理电路704中的执行电路734执行条件控制指令706d以解析条件控制指令706d的谓词(框810)。过程800还包括:指令处理电路704中的执行电路734确定推测性预测是否与从条件控制指令706d的执行所解析的谓词匹配(框812)。响应于推测性预测与条件控制指令706d的执行所解析的谓词不匹配(框814),指令处理电路704处理指令流714中的多个cd指令区域之中的第二cd指令区域中的被取指的指令706f和基于负载的ci指令706d,该第二cd指令区域是基于从条件控制指令706d的执行所解析的谓词而被采取的(框816)。过程800还涉及:响应于确定基于负载的ci指令706d是sfd基于负载的ci指令706d,重新执行已处理的基于负载的ci指令706d(框818)。
[0071]
图9是图示了图7中的处理器702中的控制无关确定电路736的示例性细节的示意图。如上面所讨论的,控制无关确定电路736被配置为跟踪基于负载的ci指令中的ci负载的存储转发依赖性。控制无关确定电路736耦合到指令处理电路704中的指令流水线i
0-in。控制无关确定电路736还被配置为在错误预测恢复中将ci指令流中的sfd基于负载的ci指令选择性地指定为基于负载的cidd的指令以供处理器702中的指令处理电路704重放。
[0072]
在这方面,控制无关确定电路736包括负载存储器依赖性确定电路900和存储转发负载(sfl)跟踪电路902。sfl跟踪电路902被配置为存储一个或多个sfl条目,该一个或多个sfl条目中的每个sfl条目包括针对被跟踪的基于负载的指令的sfl信息。sfl跟踪电路902由负载存储器依赖性确定电路900基于图7中的处理器702中的指令流714中被处理的基于负载的ci指令来更新和咨询,以确定基于负载的ci指令对基于存储指令是否是sfd的,以确
定基于负载的ci指令是否应被指定为基于负载的cidd指令以供重放。负载存储器依赖性确定电路900被配置为接收标识指令流714中的基于负载的指令的负载id 904。负载存储器依赖性确定电路900还可以被配置为接收指令id 906,指令id 906标识由执行电路734确定为指令处理电路704中的已经被错误预测的条件控制指令706,并且因此指令流714中的指令706已经基于不正确的预测指令控制流路径而在cd指令区域中被处理。负载存储器依赖性确定电路900还可以被配置为接收关于由指令id 906标识的被错误预测的条件控制指令706的被错误预测的指令信息908。负载存储器依赖性确定电路900还被配置为输出cidd信息910,cidd信息910向执行电路734指示基于负载的ci指令是否被确定为具有cidd负载的基于负载的cidd指令,使得执行电路734将重放这样的基于负载的ci指令。例如,负载存储器依赖性确定电路900可以被配置为:根据其负载id来生成被确定为是基于负载的cidd指令的基于负载的ci指令的列表912。同样在该示例中,负载存储器依赖性确定电路900可以被配置为接收比由指令信息908标识的被错误预测的条件控制指令706新的任何指令918的指示,该信息可以被用来确定基于负载的ci指令706是否应被指定为基于负载的cidd指令。
[0073]
继续参考图9,负载存储器依赖性确定电路900被配置为咨询sfl跟踪电路902以建立用于跟踪对应的基于负载的ci指令的针对接收到的负载id的sfl条目,以确定基于负载的ci指令对基于存储的指令是否是sfd的。sfl跟踪电路902被配置为从作为指令处理电路704一部分的存储到负载(stl)转发电路916接收sfl信息914,以提供关于基于负载的ci指令的存储转发信息来更新sfl跟踪电路902中的跟踪信息,如将在下面描述的那样。图10图示了包含在图9中的sfl跟踪电路902中或作为其一部分的存储转发跟踪存储器1000的示例,存储转发跟踪存储器1000用于跟踪对应的基于负载的ci指令以确定基于负载的ci指令对基于存储的指令是否是sfd的。在该示例中,存储转发跟踪存储器1000被配置为存储多个sfl条目1002(1)-1002(n),每个sfl条目被配置为存储负载id指示符1004、存储转发负载(sfl)指示符1006以及转发存储指示符(fsid)1008,负载id指示符1004用于存储负载id指令,存储转发负载(sfl)指示符1006用于存储状态,该状态指示基于负载的ci指令是否消耗由基于存储的指令产生的sfl,转发存储指示符(fsid)1008用于存储基于存储的指令的存储id,由负载id指示符1004中的负载id指示的基于负载的ci指令对该基于存储的指令是存储转发依赖的。例如,如存储转发跟踪存储器1000中的sfl条目1002(0)中所示,负载id

15’被存储在负载id指示符1004中以标识基于负载的ci指令。sfl条目1002(0)中的sfl指示符1006为
‘1’
指示sfl真状态,这意味着负载id为

15’的基于负载的ci指令具有对基于存储的指令的sfd。标识sfl条目1002(0)中的基于负载的ci指令为sfd的基于存储的指令的存储id在sfl条目1002(2)中的fsid指示符1008中,其是存储id
‘1’
。在此示例中,sfl指示符1006中的
‘0’
条目指示sfl假状态,这意味着由sfl条目1002(0)-1002(n)的负载id指示符1004中的负载id标识的基于负载的ci指令尚未被确定为对基于存储的指令是sfd的。
[0074]
在图9中的sfl跟踪电路902的示例中,sfl跟踪电路902被配置为以乱序的方式将基于负载的ci指令706的负载id 904存储在sfl条目1002(0)-1002(n)中。在该示例中,ci指令区域中的所有基于负载的ci指令将作为sfl信息914从stl转发电路916被传送到sfl跟踪电路902。响应于产生要被基于负载的ci指令706消耗的ci负载的任何指令的执行,sfl跟踪电路902将在存储转发跟踪存储器1000中建立新的sfl条目1002(0)-1002(n)。针对基于负载的ci指令706的sfl状态和fsid在基于负载的ci指令706的执行时间由stl转发电路916提
供给sfl跟踪电路902。当由基于存储的指令706所生成的ci负载变为非推测性时,在存储转发跟踪存储器1000中具有以下负载id的sfl条目1002(0)-1002(n)可以被解除分配,并且这样的sfl条目1002(0)-1002(n)可以被释放用于另一个条目:该负载id标识对ci负载是sfd的基于负载的指令。
[0075]
参考图9,控制无关确定电路736被配置为:基于确定由指令流714中的基于存储的指令706产生的存储是否被转发给基于负载的ci指令706以供消耗,来确定基于负载的ci指令706是否是sfd基于负载的ci指令。响应于负载存储器依赖性确定电路900确定基于负载的ci指令是sfd基于负载的ci指令,控制无关确定电路736被配置为:将slf信息914存储在sfl条目1002(0)-1002(n)中,该slf信息914将基于负载的ci指令指定为基于负载的cidd指令。控制无关确定电路736被配置为通过cidd信息910或cidd指令的列表912向执行电路734指示基于负载的ci指令是否是基于负载的cidd指令。如果执行电路734确定对所执行的条件控制指令706的推测性预测与所解析的谓词不匹配,则执行电路734被配置为:基于sfl跟踪电路902中的针对基于负载的ci指令的sfl条目1002(0)-1002(n)中的sfl信息914,确定所执行的基于负载的ci指令706是否是sfd基于负载的ci指令。负载存储器依赖性确定电路900被配置为:通过从stl转发电路916接收更新的slf信息914而将基于负载的ci指令706指定为基于负载的cidd指令,该更新的slf信息914将具有对基于存储的指令的sfd的基于负载的ci指定为基于负载的cidd指令。执行电路734然后可以标记基于负载的cidd指令以供在错误预测恢复期间重放。负载存储器依赖性确定电路900还被配置为:通过从stl转发电路916接收更新的slf信息914而将基于负载的ci指令指定为基于负载的cidi指令,该更新的slf信息914将对基于存储的指令不具有sfd的基于负载的ci指令706指定为基于负载的cidd指令。
[0076]
在一个示例中,基于负载的ci指令706和基于存储的指令之间的sfd基于确定到基于负载的ci指令的转发ci负载数据是否是“活跃(active)”存储。活跃存储是来自处理基于存储的指令的存储操作,该存储在指令流水线中已经被转发到消耗的基于负载的指令,但是尚未被提交到存储器。非活跃存储是不再是推测性的并且可能已经被提交(即写回)到存储器的存储操作。将基于活跃存储的基于负载的ci指令标识为基于负载的cidd指令以供重放是基于这样一种认识:即“被移除的”cd存储是用不正确的被存储数据执行cidd指令的原因。“被移除的”cd存储是在稍后发现已经被错误预测的预测指令控制流路径中的基于存储的cd指令所产生的存储操作。对照而言,“被添加的”cd存储是由在作为错误预测恢复的结果而被执行的正确指令数据路径中“被添加”的cd存储指令所产生的存储。在错误预测恢复中,作为加载和执行正确指令控制流程的结果,被错误预测的指令控制流程中基于存储的cd指令有效地“被移除”。如果这样的基于负载的ci指令不被重放,则由基于负载的ci指令所消耗的被移除cd存储导致功能故障,因为不然,基于来自被错误预测的指令控制流路径的被移除cd存储的这样基于负载的ci指令的先前执行否则将成立。如果基于负载的ci指令基于活跃存储的数据被执行,这意味着由基于负载的ci指令所消耗的存储数据可能会在错误预测恢复中改变为尚未被提交。因此,仅被标识为具有对活跃存储的sfd的基于负载的ci指令可以选择性地被标识为基于负载的cidd指令以供重放。如果基于负载的ci指令基于非活跃存储的数据被执行,这意味着所存储的数据已经被提交到存储器,则处理器不需要将这样的基于负载的ci指令标识为基于负载的cidd指令以供重放。这是因为只有在被预测条
件的谓词已经被解析为真时才提交被存储数据。如果由基于负载的ci指令所消耗的被存储数据已经被提交,这意味着正确指令控制流路径中的基于存储的cd指令已经替换了由不正确的指令控制流路径中的基于存储的cd指令先前所存储的数据。因此,未基于活跃存储来执行的基于负载的ci指令被标识为基于负载的cidi指令并且不被重放。
[0077]
在这方面,图11a是流程图形式的指令流1100,其图示了图9中的控制无关确定电路736基于从基于存储的指令706被转发到ci指令流中的基于负载的ci指令706的cd存储是在错误预测恢复中要被重放的活跃存储来跟踪sfd。图11b是流程图形式的指令流1102,用于图示图9中的控制无关确定电路736将图11a中具有对活跃存储的sfd的基于负载的ci指令指定为基于负载的cidd指令以供重放。
[0078]
在这方面,如图11a、图11b中所示,存储转发依赖性的跟踪遵循根据条件控制指令1106(诸如条件分支指令)而被采取的bei预测的不正确的cd指令控制流路径1104(“cd预测路径1104”)以及在错误预测恢复期间suo采取的实际的正确的cd指令控制流路径1108(“cd实际路径1108”)。图11a中的指令流1100包括在指令流1100中到达条件控制指令1106之前的预分支指令控制流路径1112(“预分支路径1112”)中的ci指令区域1111中的预分支指令1110。因此,预分支指令1110是ci指令。预分支指令1110包括基于存储的ci指令1114,基于存储的ci指令1114将

w’存储到存储器位置[b]中。然后,如图11a中所示,作为将条件控制指令1106中的条件预测为导致采取分支的结果,指令流1100包括cd预测路径1104中的cd指令区域1117中的cd指令1116。cd预测路径1104包括基于存储的cd指令1118,基于存储的cd指令1118将

x’存储到存储器位置[a]中。如图11a中还所示的,指令流1100包括ci指令控制流路径1122(“ci路径1122”)中的ci指令区域1121中的ci指令1120,ci指令1120不管条件控制指令1106中的条件的预测或评估结果如何都被执行。ci指令1120包括基于负载的ci指令1124,基于负载的ci指令1124将存储器位置[b]中的数据加载为

z’。ci指令1120还包括基于负载的ci指令1126,基于负载的ci指令1126将存储器位置[a]中的数据加载为

y’。因此,基于负载的ci指令1124、1126分别对由预分支路径1112中的基于存储的ci指令1114产生的ci存储和由cd预测路径1104中的基于存储的cd指令1118产生的ci存储是sfd的,因为基于存储的ci指令1114和基于存储的cd指令1118的执行分别影响被加载到存储器地址[a]和[b]中的数据,存储器地址[a]和[b]然后被用作基于负载的ci指令1124、1126的源存储器地址位置。
[0079]
在这方面,在图11a中所图示的示例中,图9中的控制无关确定电路736可以被配置为跟踪ci路径1122中的基于负载的ci指令(诸如基于负载的ci指令1124、1126)是否具有对基于存储的指令的存储转发依赖性(sfd)。在该示例中,当采取cd预测路径1104时,基于负载的ci指令1124、1126对基于存储的ci指令1114和基于存储的cd指令1118具有sfd。控制无关确定电路736可以被配置为跟踪活跃存储何时被转发到作为cidd指令的基于负载的ci指令。在该示例中,基于负载的ci指令1126被转发来自基于存储的cd指令1118的活跃存储,因为基于存储的cd指令1118是作为推测性cd存储而被产生的,在推测性错误预测的情况下,在基于负载的ci指令1126执行之前,该推测性cd存储将不会被解析。然而,基于负载的ci指令1124被转发来自基于存储的ci指令1114的非活跃存储作为非推测性ci存储,在推测性错误预测的情况下,在基于负载的ci指令1126执行之前,该非推测性ci存储可以不改变地被解析。因此,基于负载的ci指令1126被指定为基于负载的cidd指令,而基于负载的ci指令
1124被指定为基于负载的cidi指令。因此,如果当条件控制指令1106的条件在执行时被解析时,确定cd预测路径1104已经被错误预测,并且图11b中的cd实际路径1108被采取为错误预测恢复,则控制无关确定电路736可以确定指令流1102中是否有任何基于负载的ci指令(包括基于负载的ci指令1124、1126)被指定为cidd指令。在此示例中,基于负载的ci指令1126将被标记为基于负载的cidd指令以供在错误预测恢复中重放,因为其对活跃存储是sfd的,而基于负载的ci指令1124将不被标记为基于负载的cidd以供在错误预测恢复中重放的,因为其对活跃存储不是sfd的。
[0080]
存在其他方法来确定基于ci加载的指令是否对基于存储的cd指令是sfd的。例如,图12a是流程图形式的指令流1200,其图示了图9中的控制无关确定电路736跟踪基于存储的指令的存储指令id,该基于存储的指令产生存储,该存储被转发为遵循根据条件控制指令而采取的预测的不正确的cd指令控制流路径的ci指令流中的基于负载的ci指令的ci负载。图12b是流程图形式的指令流1202,其用于图示:如果图12a中的基于负载的ci指令的转发存储指令id指示对应的基于存储的指令不比条件控制指令旧,则图9中的控制无关确定电路736将图12a中的基于负载的ci指令指定为基于负载的cidd指令以供重放。
[0081]
在这方面,如图12a中所示,存储转发依赖性的跟踪遵循根据条件控制指令1206(诸如条件分支指令)所采取的被预测的不正确的cd指令控制流路径(“cd预测路径1204”)以及在错误预测恢复期间采取的实际的正确的cd指令控制流路径1208(“cd实际路径1208”)。图12a中的指令流1200包括在指令流1200中到达条件控制指令1206之前的预分支指令控制流路径1212(“预分支路径1212”)中的ci指令区域1211中的预分支指令1210。因此,预分支指令1210是ci指令。预分支指令1210包括基于存储的ci指令1214,基于存储的ci指令1214将

w’存储到存储器位置[b]中。然后,如图12a中所示,作为将条件控制指令1206中的条件预测为导致采取分支的结果,指令流1200包括在cd预测路径1204中的cd指令区域1217中的cd指令1216。cd预测路径1204包括基于存储的cd指令1218,基于存储的cd指令1218将

x’存储到存储器位置[a]中。如图12a中还所示的,指令流1200包括ci指令控制流路径1222(“ci路径1222”)中的ci指令区域1221中的ci指令1220,ci指令1220不管条件控制指令1206中的条件的预测或评估结果如何都被执行。ci指令1220包括基于负载的ci指令1224,负载的ci指令1224将存储器位置[b]中的数据加载为

z’。ci指令1220还包括基于负载的ci指令1226,括基于负载的ci指令1226将存储位置[a]中的数据加载为

y’。因此,基于负载的ci指令1224、1226分别对由预分支路径1212中的基于存储的ci指令1214产生的ci存储和cd预测路径1204中的基于存储的cd指令1218产生的ci存储是sfd的,因为基于存储的ci指令1214和基于存储的cd指令1218的执行分别影响被加载到存储器地址[a]和[b]中的数据,存储器地址[a]和[b]然后被用作基于负载的ci指令1224、1226的源存储器地址位置。
[0082]
在这方面,在图12a中所图示的示例中,图9中的控制无关确定电路736可以被配置为跟踪ci路径1222中的基于负载的ci指令(诸如基于负载的ci指令1224、1226)是否具有对基于存储的指令的存储转发依赖性(sfd)。在该示例中,当采取cd预测路径1204时,基于负载的ci指令1224、1226对基于存储的ci指令1214和基于存储的cd指令1218具有sfd。控制无关确定电路736可以被配置为跟踪存储何时被转发到作为cidd指令的基于负载的ci指令。在该示例中,由于基于存储的cd指令1218将

x’存储到存储器位置[a]中,因此基于负载的ci指令1226被转发来自基于存储的cd指令1218的存储。基于负载的ci指令1224被转发来自
基于存储的ci指令1214的存储。因此,基于负载的ci指令1224、1226被指定为基于负载的cidd指令。基于负载的ci指令1224、1226和相应的基于存储的指令1214、1218之间的这种sfd被图9中的控制无关确定电路736跟踪为基于负载的cidd指令。因此,如果当条件控制指令1206的条件在执行时被解析时,确定cd预测路径1204已经被错误预测,并且图12b中的cd实际路径1208被采取为错误预测恢复,则控制无关确定电路736可以确定指令流1202中是否有任何基于负载的ci指令(包括基于负载的ci指令1224、1226)被指定为cidd指令。
[0083]
在该示例中,控制无关确定电路736被配置为基于其相应的来自如图9中所示的sfl跟踪电路902的负载id和指令id 906来确定基于负载的ci指令1224、1226是比条件控制指令1206旧或还是新。如果在此示例中,基于负载的ci指令1224的sfl由于其fsid为
‘1’
而比具有指令id 906为“3”的条件控制指令1206新,这意味着基于负载的ci指令1224对根据条件控制指令1206所采取的图12a中的cd预测路径1204中的cd指令1216不是sfd的。因此,在该示例中,控制无关确定电路736可以将基于负载的ci指令1224的cidd指定覆写为不是基于负载的cidd指令或者是基于负载的cidi指令。同样在该示例中,具有fsid为“7”的基于负载的ci指令1224的sfl比具有指令id 906为“3”的条件控制指令1206旧,这意味着基于负载的ci指令1224对根据条件控制指令1206所采取的图12a中cd预测路径1204中的cd指令1216是sfd的。因此,如图12b中所示,基于负载的ci指令1226将被标记为基于负载的cidd指令以供在错误预测恢复中重放,因为其对cd预测路径1204中的cd指令1216是sfd的,而基于负载的ci指令1224将不被标记为由于其对ci指令1216是sfd的而在错误预测恢复中是用于重放的基于负载的cidd。
[0084]
图13a图示了指令流1300,用于图示跟踪遵循根据条件控制指令1306(诸如条件分支指令)所采取的被预测的不正确的cd指令控制流路径1304(“cd预测路径1304”)以及在错误预测恢复期间所采取的实际的正确的cd指令控制流路径1308(“cd实际路径1308”)的存储转发依赖性。图13a中的指令流1300包括在指令流1300中到达条件控制指令1306之前的预分支指令控制流路径1312(“预分支路径1312”)中的ci指令区域1311中的预分支指令1310。因此,预分支指令1310是ci指令。预分支指令1310包括基于存储的ci指令1314,基于存储的ci指令1314将

w’存储到存储器位置[b]中。然后,如图13a中所示,作为将条件控制指令1306中的条件预测为导致采取分支的结果,指令流1300包括在cd预测路径1304中的cd指令区域1317中的cd指令1316。cd预测路径1304包括基于存储的cd指令1318,基于存储的cd指令1318将

x’存储到存储器位置[a]中。如图13a中还所示的,指令流1300包括ci指令控制流路径1322(“ci路径1322”)中的ci指令区域1321中的ci指令1320,ci指令1320不管条件控制指令1306中的条件的预测或评估结果如何都被执行。ci指令1320包括基于负载的ci指令1324,基于负载的ci指令1324将存储器位置[b]中的数据加载为

z’。ci指令1320还包括基于负载的ci指令1326,基于负载的ci指令1326将存储器位置[a]中的数据加载为

y’。因此,基于负载的ci指令1324、1326分别对由预分支路径1312中的基于存储的ci指令1314产生的ci存储和由cd预测路径1304中的基于存储的cd指令1318产生的ci存储是存储转发依赖的,因为基于存储的ci指令1314和基于存储的cd指令1318的执行分别影响被加载到存储器地址[a]和[b]中的数据,存储器地址[a]和[b]然后被用作基于负载的ci指令1324、1326的源存储器地址位置。
[0085]
在图13a的示例中,图9中的控制无关确定电路736可以被配置为跟踪ci路径1322
中的基于负载的ci指令(诸如基于负载的ci指令1324、1326)是否具有对基于存储的指令的存储转发依赖性(sfd)。在该示例中,当采取cd预测路径1304时,基于负载的ci指令1324、1326对基于存储的ci指令1314和基于存储的cd指令1318具有sfd。控制无关确定电路736可以被配置为跟踪存储何时被转发到作为cidd指令的基于负载的ci指令。在该示例中,由于基于存储的cd指令1318将

x’存储到存储器位置[a]中,因此基于负载的ci指令1326被转发来自基于存储的cd指令1318的存储。基于负载的ci指令1324被转发来自基于存储的ci指令1314的存储。因此,基于负载的ci指令1324、1326被指定为基于负载的cidd指令。基于负载的ci指令1324、1326和相应的基于存储的指令1314、1318之间的这种sfd被图9中的控制无关确定电路736跟踪为基于负载的cidd指令。因此,如果当条件控制指令1306的条件在执行时被解析时,确定cd预测路径1304已经被错误预测,并且图13b中的cd实际路径1308被采取为错误预测恢复,则控制无关确定电路736可以确定指令流1302中是否有任何基于负载的ci指令(包括基于负载的ci指令1324、1326)被指定为cidd指令。
[0086]
在该示例中,控制无关确定电路736被配置为根据在sfl跟踪电路902中针对基于负载的ci指令1324、1326的fsid(基于它们的负载id)确定基于存储的指令1314、1318比在错误预测恢复中的图13b中的指令流1302中最新近被提交的存储id旧还是新。如果针对基于负载的ci指令1324、1326的fsid由于其fsid比最新近被提交的存储id新,则基于其fsid对基于存储的指令具有sfd的基于负载的ci指令1324、1326将被指定为基于负载的cidd指令,否则其将不被指定为基于负载的cidd指令。在该示例中,基于负载的ci指令1324基于fsid为
‘1’
的基于存储的指令具有sfd,而基于负载的ci指令1326基于fsid为“7”的基于存储的指令具有sfd。这意味着基于负载的ci指令1324对根据条件控制指令1306所采取的图13a中的cd预测路径1304中的cd指令1316不是sfd的,而基于负载的ci指令1326对根据条件控制指令1306所采取的图13a中的cd预测路径1304中的cd指令1316是sfd的。因此,在该示例中,控制无关确定电路736可以将基于负载的ci指令1324的cidd指定覆写为不是基于负载的cidd指令或是基于负载的cidi指令,并且基于负载的ci指令1326被指定或保留指定为基于负载的cidd指令以供在错误恢复中重放,因为其对cd预测路径1304中的cd指令1316是sfd的。
[0087]
图7中的处理器702也可以通过从基于存储的指令的角度跟踪由指令流714中的基于存储的指令706转发的存储负载来跟踪基于负载的ci指令的存储转发负载。在上面讨论的图9中,图7中的处理器702从基于负载的指令706的角度跟踪指令流714中的基于存储的指令706所转发的存储负载。在这方面,图14是图示了备选的控制无关确定电路1436的示例性细节的示意图,该电路1436可以是图7中的处理器702的控制无关确定电路736。控制无关确定电路1436被配置为:基于跟踪基于处理指令流714中的基于存储的指令706而被转发的存储转发负载,来跟踪针对基于负载的ci指令中的ci负载的存储转发依赖性。控制无关确定电路1436可以耦合到指令处理电路704中的指令流水线i
0-in。控制无关确定电路1436还被配置为在错误预测恢复中将ci指令流中的sfd基于负载的ci指令选择性地指定为基于负载的cidd指令以供处理器702中的指令处理电路704重放。
[0088]
在这方面,控制无关确定电路1436包括负载存储器依赖性确定电路1400和存储转发负载(sfl)跟踪电路1402。sfl跟踪电路1402被配置为存储一个或多个sfl条目,每个sfl条目包括从基于存储的指令706的角度来看的针对被跟踪的基于负载的指令的sfl信息7。
sfl跟踪电路1402由负载存储器依赖性确定电路1400基于图7中的处理器702中的指令流714中被处理的基于存储的指令更新和咨询,以确定基于存储的ci指令对基于负载的ci指令是否具有sfd,以确定基于负载的ci指令是否应被指定为基于负载的cidd指令以供重放。负载存储器依赖性确定电路1400被配置为接收标识指令流714中的基于存储的指令706的存储id 1404。负载存储器依赖性确定电路1400还被配置为接收负载id 1405,负载id 1405标识从指令流714的基于存储的指令706接收被转发存储的基于负载的ci的指令706。负载存储器依赖性确定电路1400还可以被配置为接收指令id 1406,指令id 1406标识由执行电路734确定为在指令处理电路704中已经被错误预测的条件控制指令706,并且因此指令流714中的指令706已经基于不正确的被预测的指令控制流路径而在cd指令区域中被处理。负载存储器依赖性确定电路1400还可以被配置为接收关于由指令id 1406标识的被错误预测的条件控制指令706的被错误预测的指令信息1408。负载存储器依赖性确定电路1400还被配置为输出cidd信息1410,cidd信息1410向执行电路734指示基于负载的ci指令是否被确定为被指定为具有cidd负载的基于负载的cidd指令,使得执行电路734将重放这样的基于负载的ci指令。例如,负载存储器依赖性确定电路1400可以被配置为生成根据其负载id而被确定为基于负载的cidd指令的基于负载的ci指令的列表
[0089]
1412。同样在该示例中,负载存储器依赖性确定电路1400可以被配
[0090]
置为接收比由指令id 1406标识的被错误预测的条件控制指令706新
[0091]
的任何指令1418的指示,该信息可以被用来确定基于负载的ci指令
[0092]
706是否应被指定为基于负载的cidd指令。
[0093]
继续参考图14,负载存储器依赖性确定电路1400被配置为咨询sfl跟踪电路1402以建立针对接收到的存储id 1404的sfl条目用于跟踪对应的基于存储的ci指令,以确定基于存储的ci指令是否具有与基于负载的ci指令的sfd。sfl跟踪电路1402被配置为从作为指令处理电路704一部分的stl转发电路1416接收sfl信息1414,以提供关于指令的存储转发信息来更新sfl跟踪电路1402中的跟踪信息,如将在下面描述的那样。图15图示了被包含在图14中的sfl跟踪电路1402中或作为其一部分的存储转发跟踪存储器1500的示例,存储转发跟踪存储器1500用于跟踪对应的基于存储的指令706以确定基于存储的指令706是否具有对基于负载的ci指令706的sfd。在该示例中,存储转发跟踪存储器1500被配置为存储多个sfl条目1502(1)-1502(n),每个sfl条目被配置为存储用于存储存储id指令706的存储id指示符1504、有效指示符1506、负载列表指示符1508、以及溢出指示符1510,负载列表指示符1508指示对由存储id指示符1504中的存储id标识的存储id指令706是sfd的基于负载的ci指令706的负载id。例如,如存储转发跟踪存储器1500中的sfl条目1502(0)中所示,存储id
‘1’
被存储在存储id指示符1504中以标识在指令流714中遇到的基于存储的指令706。sfl条目1502(0)的有效指示符1506中的
‘1’
指示该条目有效。负载id

15’在sfl条目1502(0)的负载列表指示符1508中指示具有负载id

15’的基于负载的ci指令706对由存储id
‘1’
标识的基于存储的指令706是sfd的。sfl条目1502(0)的溢出指示符1510为
‘0’
,这指示没有基于负载的指令706的溢出,该基于负载的指令706被标识为与由存储在sfl条目1502(0)中的存储id
‘1’
标识的基于存储的指令706是sfd的。溢出指示符1510
‘1’
指示存在比可以被存储在sfl条目1502(0)-1502(n)中多的被标识为与针对存储id的基于存储的指令706是sfd的基于负载的指令706。
[0094]
在图14中的sfl跟踪电路1402的示例中,sfl跟踪电路1402被配置为以乱序的形式将基于存储的指令706的存储id 1404存储在sfl条目1502(0)-1502(n)中。在该示例中,所有基于存储的ci指令706将作为sfl信息1414从stl转发电路1416被传送到sfl跟踪电路1402。作为图7中的指令处理电路704中的示例,响应于处理来自重命名电路720的基于存储的指令706,sfl跟踪电路1402将在sfl跟踪存储器1500中建立新的sfl条目1502(0)-1502(n)。当基于存储的指令706被执行并且确定基于负载的指令706以消耗转发存储时,针对存储id 1404的sfl条目1502(0)-1502(n)被更新。在这方面,sfl条目1502(0)-1502(n)的有效指示符1506被设置为
‘1’
以指示有效,并且被标识为消耗被转发存储的基于负载的指令706的负载id 1405被存储在与存储id 1404对应的sfl条目1502(0)-1502(n)中。当基于负载的ci指令706从基于存储的指令706接收到存储转发的数据时,包含针对基于存储的指令706的存储id 1404的sfl条目1502(0)-1502(n)被更新,以更新对基于存储的指令706是sfd的负载id 1405。如果在基于存储的指令的706执行时被转发的负载大于包含基于存储的指令706的存储id1404的sfl条目1502(0)-1502(n)中的负载列表指示符1508的大小,则溢出指示符1510在sfl条目1502(0)-1502(n)中被设置为
‘1’

[0095]
根据条件控制指令706的执行所采取的被错误预测的cd指令路径中的基于存储的cd指令706使控制无关确定电路1436在sfl跟踪存储器1500中搜索sfl条目1502(0)-1502(n)。可以像内容可寻址存储器(cam)一样搜索sfl跟踪存储器1500中的所有sfl条目1502(0)-1502(n),或者可以在sfl跟踪存储器1500的sfl条目1502(0)-1502(n)中关联地搜索基于存储的指令706的存储id 1404。如果包含被错误预测的cd指令路径中的基于存储的cd指令706的存储id 1404的sfl条目1502(0)-1502(n)是有效的,在该示例中由有效指示符1506中的
‘1’
来指示,则sfl条目1502(0)-1502(n)可以进一步被咨询以确定负载列表指示符1508中存在的负载id 1405。基于负载的指令706使它们的负载id 1405被存储在sfl条目1502(0)-1502(n)的负载列表指示符1508中意味着该基于负载的指令706对由对应的sfl条目1502(0)-1502(n)中的存储id 1404标识的基于存储的指令706是sfd的。因此,由这样的负载id 1405标识的基于负载的ci指令706可以被提供作为基于负载的ci指令706是基于负载的cidd指令的cidd信息1410,或者在基于负载的cidd指令1412的列表中被提供给执行电路734,以供在错误预测恢复中重放被指定为基于负载的cidd指令的这样的基于负载的ci指令706。当由sfl跟踪存储器1500中的sfl条目1502(0)-1502(n)中的存储id 1404标识的基于存储的指令706在其执行之后变为非推测性的时,针对这样的基于存储的指令706所建立的slf条目1502(0)-1502(n)可以被解除分配并且这样的sfl条目1502(0)-1502(n)被释放以用于另一个条目。
[0096]
图7中的处理器702中的控制无关确定电路1436还可以被配置为:当被错误预测的cd指令路径中的基于存储的cd指令706是根据预测条件控制指令706所采取的并且基于存储的负载指令706的存储转发是活跃存储时,搜索sfl跟踪存储器1500中的sfl条目1502(0)-1502(n)。以这种方式,只有对活跃存储是sfd的基于负载的指令706将被指定为基于负载的cidd指令以供重放。
[0097]
此外,在该示例中,并且如先前所讨论的,图7中的处理器702中的控制无关确定电路1436还可以被配置为:确定在指令流714中遇到的并且被包含在sfl跟踪存储器1500中的sfl条目1502(0)-1502(0)中的基于存储的指令706是否比条件控制指令旧或新,该确定基
于图14中该基于存储的指令706的来自sfl跟踪电路1402的相应存储id 1404和指令id 1406。如果基于存储的cd指令706由于其存储id 1404比条件控制指令新,这意味着sfl条目1502(0)-1502(0)中的负载列表指示符1508中的基于负载的ci指令706对根据条件控制指令所采取的cd路径中的基于存储的cd指令706不是sfd的。因此,在该示例中,控制无关确定电路1436可以将针对该基于存储的指令706的负载列表指示符1508中的基于负载的ci指令的cidd指定覆写为不是基于负载的cidd指令或者是基于负载的cidi指令。
[0098]
同样在该示例中,并且如先前所讨论的,图7中的处理器702中的控制无关确定电路1436还可以被配置为:确定来自在指令流714中遇到的基于存储的指令706的更新近的存储是否比其负载列表指示符1508中的基于负载的ci指令旧或新。如果在sfl跟踪存储器1500中所搜索的有效sfl条目1502(0)-1502(n)中,负载列表指示符1508中的负载id 1405比最新近被提交的存储id新,则由负载id1405标识的基于负载的指令将被指定为基于负载的cidd指令,否则它将不被指定为基于负载的cidd指令。
[0099]
如先前在图9中的控制无关确定电路936中所讨论的,控制无关确定电路936可以跟踪并且被咨询,来确定基于负载的ci指令706对基于存储的指令706是否是sfd的,以然后被标识为基于负载的cidd指令以供在错误预测恢复中重放。控制无关确定电路936还可以被配置为:代替或附加于如先前在图9中讨论的那样从stl转发电路916接收stl信息914,基于接收到基于负载的指令706被断言为具有对基于存储的指令的存储器依赖性的指示,建立图10中的存储转发跟踪存储器1000中的条目。被预测为具有存储器依赖性的基于负载的ci指令706的跟踪可以被跟踪为对基于存储的指令706是sfd的,以然后被指定为基于负载的cidd指令。
[0100]
在这方面,图16是图示了备选的控制无关确定电路1636的示例性细节的示意图,该电路1636可以在图7中的处理器702中被提供,以跟踪具有被预测的存储转发依赖性的基于负载的ci指令706中的ci负载。图16中的控制无关确定电路1636和图9中的控制无关确定电路936之间的共同组件用共同的元件编号来示出。如图16中所示,在该示例中,存储器依赖性预测器电路1600被包括在图7中的处理器702中,并且被配置为预测基于负载的ci指令706的存储转发依赖性。当基于负载的ci指令706在指令流714中被处理时,存储器依赖性预测器电路1600预测基于负载的ci指令706对基于存储的指令706是否具有sfd。如果是,则这将被传送到负载存储器依赖性确定电路900,负载存储器依赖性确定电路900然后建立图10中的存储转发跟踪存储器1000中的sfl条目1002(0)-1002(n)以跟踪基于负载的ci指令706并且将其指定为对基于存储的指令706是sfd的。在错误预测恢复中,负载存储器依赖性确定电路900可以被咨询以确定基于负载的ci指令706是否被指定为对基于存储的指令706是sfd的。负载存储器依赖性确定电路900咨询sfl跟踪电路902以确定存储转发跟踪存储器1000中的sfl条目1002(0)-1002(n)是否具有与基于负载的ci指令706对应的负载id,以确定基于负载的ci指令706是否被指定为对基于存储的指令706具有sfd。控制无关确定电路1636被配置为:通过cidd信息910或cidd指令列表912向执行电路734指示被确定为已经被预测为对基于存储的指令706是sfd的基于负载的ci指令706是基于负载的cidd指令。这在图17a和图17b中进一步被图示。
[0101]
在图17a中,图示了包括ci路径1704中的基于负载的ci指令1702的指令流1700。在该示例中,基于负载的ci指令1702将数据从存储器位置[a]加载为

y’。基于负载的ci指令
1702是在条件控制指令1710和cd预测路径1712之后的ci指令区域1708中的ci指令1706中。当图7中的指令处理电路704遇到当采取cd预测路径1712时的指令流714中的基于负载的ci指令1702时,指令处理电路704可以使图16中的存储器依赖性预测器电路1600预测基于负载的ci指令1702是否具有对基于存储的指令的sfd。例如,基于负载的ci指令1702对图17b中的指令流1718的cd实际路径1716中的基于存储的指令1714是存储器依赖的,该路径是在错误预测恢复中根据条件控制指令1710要被采取的正确的cd实际路径1716。但是,由于如图17a中所示的条件控制指令1710的错误预测,基于存储的指令1714不在指令流1700中。基于负载的ci指令1702的存储器依赖性预测由图16中的存储器依赖性预测器电路1600提供给控制无关确定电路1636。因此,如前面所讨论的,在错误预测恢复中,当采取包括cd实际路径1716的指令流1718时,负载存储器依赖性确定电路900可以被咨询以确定基于负载的ci指令1702是否被指定为基于存储器依赖性预测。控制无关确定电路1636被配置为通过cidd信息910或cidd指令列表912向执行电路734指示:基于负载的ci指令1702被确定为作为基于负载的cidd指令已经被预测为对基于存储的指令706是sfd的。
[0102]
图18是示例性基于处理器的系统1800的框图,该系统包括处理器1802,该处理器1802被配置为支持在错误预测恢复中将指令流水线中的ci指令流中的存储转发依赖的基于负载的ci指令选择性地指定为基于负载的cidd指令以供重放-如果基于负载的ci指令被标识为具有存储转发依赖性的话。处理器1802可以包括但不限于图7中的处理器702。处理器1802可以包括控制无关确定电路1804,其被配置为跟踪指令流水线中的基于负载的ci指令中的存储转发依赖性、并且将错误预测恢复中的所确定的存储转发依赖的基于负载的ci指令选择性地指定为基于负载的cidd指令以供重放。控制无关确定电路1804可以包括但不限于图7、图9、图14和图16中的控制无关确定电路736、936和1436。
[0103]
基于处理器的系统1800可以是被包括在电子板卡中的一个或多个电路,诸如印刷电路板(pcb)、服务器、个人计算机、台式计算机、膝上型计算机、个人计算机数字助理(pda)、计算平板、移动设备或任何其他设备,并且可以表示例如服务器或用户的计算机。在该示例中,基于处理器的系统1800包括处理器1802。处理器1802表示一个或多个通用处理电路,诸如微处理器、中央处理单元等。处理器1802被配置为执行计算机指令中的处理逻辑以用于执行本文所讨论的操作和步骤。在该示例中,处理器1802包括用于指令的临时快速访问存储器存储装置的指令高速缓存1806和指令处理电路1808。从存储器中,例如通过系统总线1812从系统存储器1810中取指或预取指的指令被存储在指令高速缓存1806中。指令处理电路1808被配置为处理被取指到指令高速缓存1806中的指令并处理指令以供执行。指令处理电路1808被配置为将被取指的指令插入到一个或多个指令流水线中,该一个或多个指令流水线然后被处理以执行。取决于设计,控制无关确定电路1804通信地耦合到控制无关确定电路1804以将基于负载的ci指令标识为具有存储转发依赖性,以然后能够将这样的基于负载的ci指令标记为基于负载的cidd指令以供重放。
[0104]
处理器1802和系统存储器1810耦合到系统总线1812并且可以互耦合包括在基于处理器的系统1800中的外围设备。众所周知,处理器1802通过在系统总线1812上交换地址、控制以及数据信息来与这些其他设备通信。例如,处理器1802可以将总线事务请求传送给作为从设备示例的系统存储器1810中的存储器控制器1814。尽管图18中未图示,但是可以提供多个系统总线1812,其中每个系统总线构成不同的结构。在该示例中,存储器控制器
1814被配置为提供对系统存储器1810中的存储器阵列1816的存储器访问请求。存储器阵列1816由用于存储数据的存储位单元阵列组成。作为非限制性示例,系统存储器1810可以是只读存储器(rom)、闪存、动态随机存取存储器(dram)(诸如同步dram(sdram)等)以及静态存储器(例如,闪存、静态随机存取存储器(sram)等)。
[0105]
其他设备可以连接到系统总线1812。如图18中所图示,作为示例,这些设备可以包括系统存储器1810、一个或多个输入设备1818、一个或多个输出设备1820、调制解调器1822和一个或多个显示器控制器1824。(多个)输入设备1818可以包括任何类型的输入设备,包括但不限于输入键、开关、语音处理器等。(多个)输出设备1820可以包括任何类型的输出设备,包括但不限于音频、视频、其他视觉指示器等。调制解调器1822可以是被配置为允许与网络1826交换数据的任何设备。网络1826可以是任何类型的网络,包括但不限于有线或无线网络、专用或公共网络、局域网(lan)、无线局域网(wlan)、广域网(wan)、bluetooth
tm
网络和互联网。调制解调器1822可以被配置为支持所期望的任何类型的通信协议。处理器1802还可以被配置为通过系统总线1812访问(多个)显示器控制器1824以控制被发送给一个或多个显示器1828的信息。(多个)显示器1828可以包括任何类型的显示器,包括但不限于阴极射线管(crt)、液晶显示器(lcd)、等离子显示器等。
[0106]
图18中的基于处理器的系统1800可以包括一组指令1830,其可以包括条件控制指令,该条件控制指令使这样的指令成为ci指令或cd指令。作为非瞬态计算机可读介质1832的示例,指令1830可以被存储在系统存储器1810、处理器1802和/或指令高速缓存1806中。指令1830也可以完全或至少部分地驻留在系统存储器内1810和/或在它们的执行期间驻留在处理器1802内。指令1830还可以经由调制解调器1822在网络1826上被传输或被接收,使得网络1826包括非瞬态计算机可读介质1832。
[0107]
虽然非瞬态计算机可读介质1832在示例性实施例中被示为单个介质,但是术语“计算机可读介质”应当被认为包括存储一组或多组指令的单个介质或多个介质(例如,集中式或分布式数据库,和/或关联的高速缓存和服务器)。术语“计算机可读介质”还应被认为包括能够存储、编码或携带由处理设备执行的一组指令并且使处理设备执行本文公开的实施例的方法中的任何一个或多个方法的任何介质。因此,术语“计算机可读介质”应被认为包括但不限于固态存储器、光学介质和磁介质。
[0108]
本文公开的实施例包括各种步骤。本文公开的实施例的步骤可以由硬件组件形成,或者可以被体现在机器可执行指令中,机器可执行指令可以被用来使用指令编程的通用或专用处理器执行这些步骤。备选地,这些步骤可以通过硬件和软件的组合来执行。
[0109]
本文公开的实施例可以作为计算机程序产品或软件而被提供,其可以包括其上存储有指令的机器可读介质(或计算机可读介质),其可以被用来对计算机系统(或其他电子设备)进行编程以执行根据本文公开的实施例的过程。机器可读介质包括用于以机器(例如,计算机)可读的形式存储或传输信息的任何机制。例如,机器可读介质包括:机器可读存储介质(例如,rom、随机存取存储器(“ram”)、磁盘存储介质、光学存储介质、闪存设备等)等等。
[0110]
除非另外特别说明并且从前面的讨论中明显,否则应该了解,在整个描述中,利用诸如“处理”、“计算”、“确定”、“显示”等术语的讨论是指计算机系统或类似电子计算设备的动作和过程,其将在计算机系统寄存器内被表示为物理(电子)量的数据和存储器操纵并转
换为在计算机系统存储器或寄存器或其他这样的信息存储、传输或显示设备内被类似地表示为物理量的其他数据。
[0111]
本文呈现的算法和显示部与任何特定计算机或其他装置固有地关联。各种系统可以根据本文的教导来与程序一起使用,或者构造更专门的装置来执行所需的方法步骤可以证明是方便的。各种这些系统所需的结构将从上面的描述中显现出来。此外,本文描述的实施例没有参照任何特定的编程语言来描述。应当了解,可以使用多种编程语言来实现本文描述的实施例的教导。
[0112]
本领域技术人员将进一步了解,结合本文公开的实施例所描述的各种说明性逻辑块、模块、电路和算法可以被实现为电子硬件、被存储在存储器中或另一种计算机可读介质中并由处理器或其他处理设备或两者的组合来执行的指令。作为示例,本文描述的分布式天线系统的组件可以在任何电路、硬件组件、集成电路(ic)或ic芯片中被采用。本文公开的存储器可以是任何类型和大小的存储器并且可以被配置为存储任何类型的期望信息。为了清楚地说明这种可互换性,各种说明性组件、块、模块、电路和步骤已经在上面大体上根据它们的功能性进行了描述。如何实现这样的功能性取决于特定应用、设计选择和/或施加在整个系统上的设计约束。技术人员可以针对每个特定应用以不同方式实现所描述的功能性,但是这样的实现决定不应被解释为导致偏离本实施例的范围。
[0113]
结合本文公开的实施例所描述的各种说明性逻辑块、模块和电路可以用处理器、数字信号处理器(dsp)、专用集成电路(asic)、现场可编程门阵列(fpga)或其他可编程逻辑器件、分立门或晶体管逻辑、分立硬件组件或被设计来执行本文所述的功能的它们的任何组合来实现或执行。此外,控制器可以是处理器。处理器可以是微处理器,但在备选方案中,处理器可以是任何常规处理器、控制器、微控制器或状态机。处理器还可以被实现为计算设备的组合(例如,dsp和微处理器的组合、多个微处理器、一个或多个微处理器与dsp核结合,或者任何其他这样的配置)。
[0114]
本文公开的实施例可以被体现在硬件和存储在硬件中的指令中,并且可以驻留在例如ram、闪存、rom、电可编程rom(eprom)、电可擦除可编程rom(eeprom)、寄存器、硬盘、可移除磁盘、cd-rom或本领域已知的任何其他形式的计算机可读介质中。示例性存储介质耦合到处理器,使得处理器可以从存储介质读取信息以及向存储介质写入信息。在备选方案中,存储介质可以与处理器集成在一起。处理器和存储介质可以驻留在asic中。asic可以驻留在远程站中。在备选方案中,处理器和存储介质可以作为分立组件驻留在远程站、基站或服务器中。
[0115]
还应注意,在本文的任何示例性实施例中描述的操作步骤被描述以提供示例和讨论。所描述的操作可以以不同于所图示的顺序的许多不同的顺序来执行。此外,在单个操作步骤中描述的操作实际上可以在多个不同的步骤中执行。此外,可以组合示例性实施例中讨论的一个或多个操作步骤。本领域技术人员还将理解,可以使用多种科技和技术中的任何一种来表示信息和信号。例如,在整个以上描述中可以作为参考的数据、指令、命令、信息、信号、位、符号和芯片,可以由电压、电流、电磁波、磁场或粒子、光场或粒子、或其任何组合来表示。
[0116]
除非另有明确说明,否则绝不旨在将本文中阐述的任何方法解释为要求以特定的顺序来执行其步骤。因此,如果方法权利要求实际上没有叙述其步骤所遵循的顺序,或者在
权利要求或说明书中没有另外具体说明这些步骤局限于特定的顺序,则绝不旨在推断任何特定的顺序。
[0117]
对本领域技术人员将明显的是,在不脱离本发明的精神或范围的情况下可以进行各种修改和变化。由于本领域技术人员可以想到合并了本发明的精神和实质的所公开实施例的修改、组合、子组合和变化,因此本发明应当被解释为包括所附权利要求及其等同物范围内的所有内容。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献