一种残膜回收机防缠绕挑膜装置的制 一种秧草收获机用电力驱动行走机构

半导体装置的制作方法

2022-02-24 19:01:29 来源:中国专利 TAG:


1.本发明实施例涉及介电鳍状物与其形成方法,以避免多桥通道晶体管的栅极短接至源极/漏极。


背景技术:

2.半导体集成电路产业已经历指数成长。集成电路材料与设计的技术进展使每一代的集成电路比前一代具有更小且更复杂的电路。在集成电路的演进中,功能密度(单位芯片面积的内连线装置数目)通常随着几何尺寸(比如采用的制作制程所能产生的最小构件或线路)缩小而增加。尺寸缩小的制程通常有利于增加产能并降低相关成本。尺寸缩小亦会增加处理与制造集成电路的复杂度。
3.举例来说,随着集成电路技术朝更小的技术节点进展,已导入多栅极金属氧化物半导体场效晶体管或多栅极装置以增加栅极-通道耦合、减少关闭状态电流、并减少短通道效应,进而改善栅极控制。多栅极装置通常指的是栅极结构或其部分位于通道区的多侧上的装置。多桥通道晶体管为多栅极装置的例子,其变得更泛用且为高效能与低漏电流应用的有力候选。多桥通道晶体管的栅极结构可延伸以部分或完全围绕通道区而接触通道区的两侧或更多侧。由于栅极结构围绕通道区,多桥通道晶体管亦可视作围绕栅极晶体管或全绕式栅极晶体管。可自纳米线、纳米片、其他纳米结构、及/或其他合适结构形成多桥通道晶体管的通道区,因此多桥通道晶体管亦可视作纳米线晶体管或纳米片晶体管。
4.多桥通道晶体管的介电鳍状物可定义并分隔相邻的源极/漏极结构。在一些例子中,牺牲覆层沉积于含有牺牲层与通道层的鳍状结构上。之后可选择性移除牺牲覆层与牺牲层,以释放通道层作为桥状通道组件。若形成源极/漏极开口时未充分移除牺牲覆层,之后沉积的内侧间隔物层的厚度不足以避免栅极结构与源极/漏极结构之间的短路。虽然现有的介电鳍状物通常适用于其预期目的,但无法符合所有方面的需求。


技术实现要素:

5.本发明一例示性的实施例涉及半导体装置。半导体装置包括介电鳍状物,其包括盖层;栅极结构,位于盖层的第一部分上并沿着一方向延伸;以及介电层,与栅极结构相邻并位于盖层的第二部分上。第一部分沿着方向的宽度,大于第二部分沿着方向的宽度。
6.本发明另一例示性的实施例涉及半导体装置的形成方法。方法包括接收工件,其包括:第一鳍状结构与第二鳍状结构,第一鳍状物结构与第二鳍状结构包括通道区与源极/漏极区;以及介电鳍状物,位于第一鳍状结构与第二鳍状结构之间。方法还包括沉积虚置栅极堆叠于通道区与介电鳍状物的第一部分上;选择性蚀刻不在虚置栅极堆叠之下的介电鳍状物的第二部分;在选择性蚀刻之后,沉积栅极间隔物层于介电鳍状物的第二部分与虚置栅极堆叠的侧壁上;以及蚀刻源极/漏极区以形成源极/漏极开口。
7.本发明又一例示性的实施例涉及半导体装置的形成方法。方法包括接收工件,其包括:第一鳍状结构与第二鳍状结构,第一鳍状结构与第二鳍状结构包括通道区与源极/漏
极区;隔离结构,位于第一鳍状结构与第二鳍状结构之间;介电鳍状物,位于隔离结构上;覆层,位于第一鳍状结构与第二鳍状结构的侧壁上;以及虚置栅极堆叠,位于通道区上。方法还包括选择性蚀刻介电鳍状物的第二部分,而虚置栅极堆叠覆盖介电鳍状物的第一部分;在选择性蚀刻之后,沉积栅极间隔物层于介电鳍状物的第二部分与虚置栅极堆叠的侧壁上;蚀刻源极/漏极区与覆层,以形成源极/漏极开口;以及沉积源极/漏极结构于源极/漏极开口中。
附图说明
8.图1是本发明一或多个实施例中,形成半导体装置的方法的流程图。
9.图2至17是本发明一或多个实施例中,工件在图1的方法中的多种制作阶段时的部分剖视图与透视图。
10.其中,附图标记说明如下:
11.i-i',ii-ii',iii-iii':剖面
12.t:厚度
13.w1:第一宽度
14.w2:第二宽度
15.100:方法
16.102,104,106,108,110,112,114,116,118,120,122,124,126,128,130:步骤
17.200:工件
18.202:基板
19.204:堆叠
20.206:牺牲层
21.208:通道层
22.210:硬遮罩层
23.212:鳍状结构
24.212b:底部
25.212c:通道区
26.212sd:源极/漏极区
27.212t:顶部
28.214:半导体衬垫层
29.216:隔离结构
30.218:覆层
31.219:沟槽
32.220:衬垫层
33.222:填充层
34.224:盖层
35.224':修整的盖层
36.230:虚置栅极堆叠
37.231:虚置介电层
38.232:虚置栅极
39.234:栅极间隔物
40.236:源极/漏极沟槽
41.238:内侧间隔物结构
42.240:源极/漏极结构
43.250:接点蚀刻停止层
44.252:层间介电层
45.260:栅极结构
46.262:栅极介电层
47.264:栅极层
48.280:多桥通道晶体管
49.1000:介电鳍状物
50.2080:通道组件
51.2240:部分
52.3000:底部
具体实施方式
53.下述详细描述可搭配图式说明,以利理解本发明的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
54.下述内容提供的不同实施例或例子可实施本发明实施例的不同结构。特定构件与排列的实施例是用以简化本公开而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者之间隔有其他额外构件而非直接接触。
55.此外,空间性的相对用语如“下方”、“其下”、“下侧”、“上方”、“上侧”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90
°
或其他角度,因此方向性用语仅用以说明图示中的方向。
56.此外,当数值或数值范围的描述有“约”、“近似”、或类似用语时,旨在涵盖合理范围内的数值,如本技术领域中具有通常知识者考量到制造过程中产生的固有变化。举例来说,基于与制造具有与数值相关的已知制造容许范围,数值或范围涵盖包括所述数目的合理范围,例如在所述数目的 /-10%以内。举例来说,材料层的厚度为约5nm且本技术领域中具有通常知识者已知沉积材料层的制造容许范围为15%时,其包含的尺寸范围为4.25nm至5.75nm。此外,本发明的多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
57.对多桥通道晶体管而言,可形成介电鳍状物以定义并分开相邻的源极/漏极结构。在一些例子中,沉积牺牲覆层于鳍状结构上,且鳍状结构包括交错的牺牲层与通道层。之后可选择性移除鳍状结构的通道区中的牺牲覆层与牺牲层,以释放通道层而作为延伸于源极/漏极结构之间多桥通道组件。在采用牺牲覆层时,形成源极/漏极开口的步骤亦可移除源极/漏极区中的至少一部分的牺牲覆层。在理想情况下,可自源极/漏极开口完全移除牺
牲覆层。然而实际情况不如理论。在介电鳍状物厚且鳍状结构为锥形的例子中,非等向蚀刻源极/漏极区会残留牺牲覆层于介电鳍状物的底部附近。在沉积内侧间隔物结构所用的材料并使其凹陷时,残留的牺牲覆层会避免内侧间隔物结构达到所需厚度。本发明实施例观察到内侧间隔物结构的厚度减少,可能造成栅极结构与源极/漏极结构之间的短接。
58.本发明实施例提供的方法在形成虚置栅极堆叠之后,但在沉积栅极间隔物层于虚置栅极堆叠上之前修整介电鳍状物。在一些实施例中,每一介电鳍状物可包含外侧层、内侧层位于外侧层中、与盖层位于外侧层与内侧层上。盖层的组成可为高介电常数的介电材料如氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、或氧化铪。在一些实施方式中,本发明实施例的修整步骤可选择性移除盖层的一部分,以改善后续形成源极/漏极开口的制程。本发明实施例观察到修整盖层可减少牺牲覆层的残留量,进而减少栅极与源极/漏极的短接。
59.本发明多种实施例将搭配图式详述如下。图1是形成半导体装置的方法100的流程图。方法100仅为举例,而非局限本发明实施例至方法100直接记载的内容。在方法100之前、之中、与之后可提供额外步骤,且方法的额外实施例可置换、省略、或调换一些所述步骤。此处不详述所有步骤以简化说明。方法100将搭配图2至图17说明如下,其显示工件200在方法100的实施例的不同制作阶段时的部分透视图或剖视图。由于半导体装置可由工件200形成,工件200亦可视内容需要而视作半导体装置。在图2至图17中,x方向、y方向、与z方向彼此垂直且在说明中一致。举例来说,某一图的x方向平行于另一图的x方向。此外,本发明实施例的类似标号可用于标示类似结构。
60.如图1及图2所示,方法100的步骤102接收工件200。如图2所示,工件200包括基板202与堆叠204位于基板202上。在一实施例中,基板202可为硅基板。在一些其他实施例中,基板202可包含其他半导体材料如锗、硅锗、或iii-v族半导体材料。iii-v族半导体材料的例子可包含砷化镓、磷化铟、磷化镓、氮化镓、磷砷化镓、砷化铝铟、砷化铝镓、磷化镓铟、或砷化镓铟。基板202亦可包含绝缘层如氧化硅层,以具有绝缘层上硅结构或绝缘层上锗结构。在一些实施例中,基板202可包含一或多个井区,比如掺杂n型掺质(如磷或砷)的n型井区或掺杂p型掺质(如硼)的p型井区,以形成不同型态的装置。掺杂n型井与p型井的方法可采用离子布植或热扩散。
61.如图2所示,堆叠204可包含交错的多个通道层208与多个牺牲层206。通道层208与牺牲层206可具有不同的半导体组成。在一些实施方式中,通道层208的组成为硅而牺牲层206的组成为硅锗。在这些实施方式中,牺牲层206中的额外锗含量可选择性移除牺牲层206或使牺牲层凹陷,而实质上不损伤通道层208。在一些实施例中,牺牲层206与通道层208的沉积方法可采用外延制程。堆叠204的外延沉积法可采用化学气相沉积技术(如气相外延及/或超高真空化学气相沉积)、分子束外延、及/或其他合适制程。牺牲层206与通道层208可一个接一个的交错沉积,以形成堆叠204。值得注意的是,虽然图式中有四个牺牲层206与三个通道层208交错地垂直配置,但此仅用于说明目的而非局限本发明实施例至权利要求未实际记载处。层状物的数目取决于半导体装置如工件200所需的通道组件数目。在一些实施例中,通道层208的数目介于2至10之间。
62.为了准备进行后续图案化制程,可沉积硬遮罩层210于堆叠204上。硬遮罩层210可作为蚀刻遮罩以图案化堆叠204与基板202的一部分。在一些实施例中,硬遮罩层210的沉积
方法可采用化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、等离子体辅助原子层沉积、或合适的沉积方法。硬遮罩层210可为单层或多层。当硬遮罩层210为多层时,其可包含第一层与第二层位于第一层上。在一实施例中,第一层可为垫氧化物,而第二层可为垫氮化物。
63.如图1所示,方法100的步骤104形成鳍状结构212。在一些实施例中,步骤104可图案化堆叠204与基板202的一部分以形成鳍状结构212。如图3所示,每一鳍状结构212包括自基板202的一部分形成的底部212b与自堆叠204形成的顶部212t。顶部212t位于底部212b上。鳍状结构212的长度方向沿着y方向延伸,且沿着z方向自基板202垂直延伸。鳍状结构212的图案化方法可采用合适制程,包括双重图案化或多重图案化制程。一般而言,双重图案化或多重图案化制程可结合光微影与自对准制程,其产生的图案间距小于采用单一的直接光微影制程所得的图案间距。举例来说,一实施例形成材料层于基板上,并采用光微影制程图案化材料层。采用自对准制程以沿着图案化的材料层侧壁形成间隔物。接着移除材料层,而保留的间隔物或芯之后可用于图案化硬遮罩层210。接着可采用图案化的硬遮罩层210并蚀刻堆叠204与基板202,以图案化鳍状结构212。蚀刻制程可包含干蚀刻、湿蚀刻、反应性离子蚀刻、及/或其他合适制程。虽然希望鳍状结构212具有完美的垂直侧壁,鳍状结构212仍可具有锥形轮廓,如图4所示。在所述实施例中,每一鳍状结构212具有狭窄的上表面与宽阔的下表面,且锥形的角度可介于约0
°
至约5
°
之间。
64.如图1及图4所示,方法100的步骤106形成半导体衬垫层214于鳍状结构212上。在一些实施例中,可沉积半导体衬垫层214于鳍状结构212上,如图4所示。半导体衬垫层214可包含硅或硅锗。在一些实施方式中,半导体衬垫层214的沉积方法可采用原子层沉积、等离子体辅助原子层沉积、气相外延、分子束外延、或合适方法。在采用气相外延或分子束外延的一些实施方式中,可选择制程条件使半导体衬垫层214的沉积方法对鳍状结构212与基板202的表面不具选择性。举例来说,在沉积半导体衬垫层214之前,不清洁鳍状结构212的表面以移除表面氧化物。在这些实施方式中,半导体衬垫层214可为非晶,且可沉积于硬遮罩层210的上表面与侧壁上。
65.如图1及图5所示,方法100的步骤108形成隔离结构216。在形成鳍状结构212之后,可形成图5所示的隔离结构216于相邻的鳍状结构212之间。隔离结构216亦可视作浅沟槽隔离结构。在制程的例子中,可先沉积隔离结构216所用的介电材料于工件200上的半导体衬垫层214上,以将介电材料填入鳍状结构212之间的沟槽。在一些实施例中,介电材料可包含氧化硅、氮化硅、氮氧化硅、氟硅酸盐玻璃、低介电常数的介电层、上述的组合、及/或其他合适材料。在多种例子中,介电材料的沉积方法可为化学气相沉积制程、次压化学气相沉积制程、可流动的化学气相沉积制程、原子层沉积制程、旋转涂布、及/或其他合适制程。接着可由化学机械研磨制程薄化与平坦化沉积的介电材料,直到露出半导体衬垫层214的至少一部分。可由干蚀刻制程、湿蚀刻制程、及/或上述的组合使平坦化的介电层进一步凹陷或回蚀刻,以形成隔离结构216。在图5所示的实施例中,回蚀刻制程亦可自鳍状结构212的表面移除隔离结构216未覆盖的半导体衬垫层214。如图5所示,鳍状结构212的顶部212t隆起高于隔离结构216,而隔离结构216围绕底部212b。
66.如图1、图6、及图7所示,方法100的步骤形成覆层218于鳍状结构212上。在一些实施例中,覆层218的组成与牺牲层206的组成类似。在一例中,覆层218的组成可为硅锗。此组
成可使后续制程选择性移除牺牲层206与覆层218。在一些实施例中,顺应性地外延成长覆层218的方法可为气相外延或分子束外延。如图6所示,选择覆层218的沉积制程,以非晶且顺应性地沉积覆层218于工件200的表面上,包括鳍状结构212与隔离结构216的表面上。在一些例子中,覆层218的厚度可介于约5nm至约10nm之间。在沉积覆层218之后,覆层218的相邻侧壁可定义沟槽219。
67.如图7所示,步骤110沉积覆层218于工件200上之后,可对工件200进行回蚀刻制程以使覆层218凹陷,直到移除顶面表面上的覆层218。如图7所示,回蚀刻制程之后可露出沟槽219中的硬遮罩层210与隔离结构216的上表面。
68.如图1及图8所示,方法100的步骤112形成含有盖层224的介电鳍状物1000。步骤112形成介电鳍状物1000于沟槽219(见图7)中。在所述实施例中,每一介电鳍状物1000包括多层。在制程的例子中,顺应性沉积衬垫层220于工件200上,包括沉积于沟槽219中,如图7所示。衬垫层220的沉积方法可采用等离子体辅助化学气相沉积、原子层沉积、或合适方法。衬垫层220可趁垫沟槽219的侧壁与下表面。接着沉积填充层222于工件200上的衬垫层220上,且沉积方法可采用化学气相沉积、次压化学气相沉积、可流动的化学气相沉积、原子层沉积、旋转涂布、及/或其他合适制程。在一些例子中,衬垫层220的介电常数大于填充层222的介电常数。衬垫层220可包括硅、氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、氧化铪、或合适的介电材料。填充层222可包含氧化硅、碳化硅、氮氧化硅、碳氮氧化硅、或合适的介电材料。在沉积衬垫层220与填充层222之后,可采用平坦化制程如化学机械研磨制程以平坦化工件200,直到移除覆层218上的衬垫层220与填充层222。在平坦化之后,可使填充层222与衬垫层220的部分选择性地部分凹陷,接着沉积盖层224于工件200上。盖层224可包含氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、氧化铪、或合适的介电材料。接着采用化学机械研磨制程平坦化工件200,以移除覆层218上的多余盖层224。此时可实质上形成介电鳍状物1000。每一介电鳍状物1000包括盖层224位于填充层222与衬垫层220上。在一实施例中,衬垫层220包括氮化硅或碳氮化硅,填充层222包括氧化硅,而盖层224包括碳氮化硅、氮化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、或氧化铪。
69.在形成介电鳍状物1000之后,可非等向蚀刻工件以选择性移除覆层218的一部分、半导体衬垫层214的一部分、硬遮罩层210、与最顶部的牺牲层206以露出最顶部的通道层208,而实质上不损伤盖层224。非等向蚀刻制程可包含单一阶段的蚀刻制程或多阶段的蚀刻制程。当非等向蚀刻制程为单一阶段的蚀刻制程时,其对半导体材料(如硅与硅锗)与氮化硅具有选择性。在非等向蚀刻制程为多阶段的制程时,第一阶段可对半导体材料(如硅与硅锗)具有选择性,而第二阶段可对氮化硅具有选择性。在一些实施方式中,非等向蚀刻制程可包含氢气、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。
70.如图1及图8所示,方法100的步骤114形成虚置栅极堆叠230于鳍状结构212上。在一些实施例中,采用栅极置换制程(或栅极后制制程),其中虚置栅极堆叠230作为功能栅极结构所用的占位物。其他制程与设置亦属可能。如图7所示,虚置栅极堆叠230包括虚置介电层231与虚置栅极232位于虚置介电层231上。虚置栅极堆叠230之下的鳍状结构212的区域
可视作通道区。鳍状结构中的每一通道区夹设于形成源极/漏极所用的两个源极/漏极区之间。在制程的例子中,可毯覆性沉积虚置介电层231于工件200上,且沉积方法可为化学气相沉积。接着毯覆性沉积虚置栅极232所用的材料层于虚置介电层231上。接着采用光微影制程图案化虚置介电层231与虚置栅极232所用的材料层,以形成虚置栅极堆叠230。在一些实施例中,虚置介电层231可包含氧化硅,而虚置栅极232可包含多晶硅。
71.如图1及图9所示,方法100的步骤116选择性修整盖层224。如图8所示,步骤116的修整制程可修整虚置栅极堆叠230未覆盖的盖层224的部分,以形成修整的盖层224'。步骤116的修整制程可采用干蚀刻、湿蚀刻、或上述的组合。在一些实施例中,由于希望减少盖层224沿着z方向的高度而不减少盖层224沿着x方向的宽度,修整制程可采用斜向等离子体,即安装工件200的基板支架可倾斜一角度。为了达到修整的盖层224'的形状,可先顺时钟倾斜基板支架一角度,接着逆时钟倾斜基板支架相同的角度。斜向等离子体蚀刻的化学剂可对盖层224的材料具有选择性。举例来说,当盖层224的组成为氮化硅时,修整制程可采用氮气、氧气、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯气体(如三氯化硼)、或含碳气体(如甲烷)。在一实施例中,修整制程可采用三氯化硼。步骤116不修整虚置栅极堆叠230所覆盖的盖层224。
72.在图9所示的实施例中,盖层224的上表面在修整之前,沿着x方向的宽度为第一宽度w1。步骤116的修整制程可自盖层224的未覆盖部分移除部分2240,并使第一宽度w1减少两倍的部分2240的厚度t。如下所述,可沉积至少一栅极间隔物234于工件200上,包括沉积于修整的盖层224'的侧壁上。若不修整源极/漏极区中的盖层224使其宽度减少2倍的厚度t,至少一栅极间隔物234将实质上延伸于覆层218上,进而阻碍步骤120非等向蚀刻覆层218。在一些实施例中,第一宽度w1可介于约8nm至约15nm之间,而厚度t可介于约2nm至约5nm之间。在这些实施方法中,第二宽度w2可介于约5nm至约10nm之间。
73.如图1及图10所示,方法100的步骤118沿着虚置栅极堆叠230的侧壁形成至少一栅极间隔物234。至少一栅极间隔物234可包含两个或更多栅极间隔物层。可选择至少一栅极间隔物234所用的介电材料,以选择性移除虚置栅极堆叠230而实质上不损伤至少一栅极间隔物234。合适的介电材料可包含氮化硅、碳氮氧化硅、碳氮化硅、氧化硅、碳氧化硅、碳化硅、氮氧化硅、及/或上述的组合。在制程的例子中,可顺应性沉积至少一栅极间隔物234于工件200上,且沉积方法可采用化学气相沉积、次压化学气相沉积、或原子层沉积。在一实施例中,至少一栅极间隔物234包括两个栅极间隔物,一者的组成为氮化硅且另一者的组成为碳氮化硅。除上述例子,亦可完全考虑其他组合。在沉积至少一栅极间隔物234之后,可回蚀刻至少一栅极间隔物234以露出源极/漏极区中的鳍状结构212的上表面。如图10所示,由于步骤116的修整可减少盖层224的宽度(在回蚀刻之后),至少一栅极间隔物234只部分地覆盖覆层218,并露出覆层218的一部分上表面。由于不完全覆盖覆层218的上表面,可更完全地移除覆层218。
74.如图1、图11、及图12所示,方法100的步骤120使鳍状结构212的源极/漏极区凹陷,以形成源极/漏极沟槽236。在结合步骤120说明的图式中,图11为部分剖视图,而图12为图11所示的相同区域的部分透视图。图12的透视图标示源极/漏极区212sd与通道区212c,且有助于显示形成于源极/漏极区212sd中的源极/漏极沟槽236的位置,而虚置栅极堆叠230与至少一栅极间隔物234覆盖通道区212c。采用虚置栅极堆叠230与至少一栅极间隔物234
作为蚀刻遮罩,并非等向蚀刻工件200以形成源极/漏极沟槽236于鳍状结构212的源极/漏极区212sd上。在图11及图12所示的一些实施例中,步骤120可实质上移除源极/漏极区212sd中的鳍状结构212的顶部212t。在一些其他实施例中,源极/漏极沟槽236可延伸至自基板202形成的底部212b中。步骤120的非等向蚀刻可包含干蚀刻制程或合适的蚀刻制程。举例来说,干蚀刻制程可实施含氧气体、氢气、含氟气体(如四氟化碳、六氟化硫、二氟甲烷、氟仿、及/或六氟乙烷)、含氯气体(如氯气、氯仿、四氯化碳、及/或三氯化硼)、含溴气体(如溴化氢及/或溴仿)、含碘气体、其他合适气体及/或等离子体、及/或上述的组合。如图11及图12所示,步骤120的干蚀刻制程可由较慢速率蚀刻盖层224与衬垫层220,并留下一些实质上未蚀刻的部分。源极/漏极沟槽236中可露出多个通道层208、多个牺牲层206、与覆层218的侧壁。
75.在一些实施方式中,修整的盖层224'与其较小的第二宽度w2可改善步骤120的蚀刻制程,以更完全地移除源极/漏极沟槽236中的覆层218。如图11及图12所示,除了修整的盖层224'的较小的第二宽度w2之外,可维持介电鳍状物1000与隔离结构216之间的界面或接面或其周围的覆层218的底部3000。具体而言,可维持衬垫层220与隔离结构216所定义的角落区或其周围的覆层218的底部3000。
76.如图1及图13所示,方法100的步骤122形成内侧间隔物结构238。如图13所示,步骤122先选择性地使源极/漏极沟槽236中露出的牺牲层206部分凹陷以形成内侧间隔物凹陷,而实质上不蚀刻露出的通道层208。由于覆层218与牺牲层206共用类似的组成,步骤122亦可蚀刻覆层218。在通道层208基本上由硅组成、牺牲层206基板上由硅锗组成、而覆层218基本上由硅锗组成的实施例中,选择性地使牺牲层206与覆层218部分凹陷的步骤,可包含硅锗的氧化制程与之后移除硅锗的氧化物的制程。在这些实施例中,硅锗氧化制程可采用臭氧。在一些其他实施例中,选择性凹陷可包含选择性等向蚀刻制程(比如选择性干蚀刻制程或选择性湿蚀刻制程),而蚀刻制程的时间可控制牺牲层206与覆层218的凹陷量。选择性干蚀刻制程可采用一或多种氟为主的蚀刻剂,比如氟气或碳氢氟化物。选择性湿蚀刻制程可包含氢氧化铵、过氧化氢、与水的混合物的蚀刻。在形成内侧间隔物凹陷之后,可采用化学气相沉积或原子层沉积以顺应性沉积内侧间隔物材料层于工件200上,包括沉积于内侧间隔物凹陷与移除覆层218所留下的空间之中与之上。内侧间隔物材料可包含氮化硅、碳氮氧化硅、碳氮化硅、氧化硅、碳氧化硅、碳化硅、或氮氧化硅。在沉积内侧间隔物材料层之后,可回蚀刻内侧间隔物材料层以形成内侧间隔物结构238,如图13所示。值得注意的是,步骤122亦可蚀刻底部3000。在一些例子中,可维持至少一部分的底部3000,如图13所示。本发明实施例观察到底部3000的厚度与靠近介电鳍状物1000的底部之内侧间隔物结构238的厚度成反比。这是因为底部3000可阻挡选择性凹陷覆层218。步骤116的选择性修整可减少底部3000的厚度并造成较厚的内侧间隔物结构238。较厚的内侧间隔物结构238可避免栅极结构与源极/漏极结构之间的短接。这些短接可能来自于释放通道组件时蚀穿内侧间隔物结构238。
77.如图1及图14所示,方法100的步骤124形成源极/漏极结构240于源极/漏极沟槽236中。源极/漏极结构240可选择性地外延成长于通道层208与基板202的露出的半导体表面上。源极/漏极结构240的沉积方法可采用外延制程如气相外延、超高真空化学气相沉积、分子束外延、及/或其他合适制程。源极/漏极结构240可为n型或p型。当源极/漏极结构240
为n型时,其可包含硅且可掺杂n型掺质如磷或砷。当源极/漏极结构240为p型时,其可包含硅锗或锗且可掺杂p型掺质如硼或镓。可在沉积源极/漏极结构240时进行原位掺杂,或采用布植制程如接面布植制程掺杂源极/漏极结构。虽然未图示,源极/漏极结构240可包含第一外延层与第二外延层位于第一外延层上。在一些例子中,第一外延层与第二外延层可掺杂相同的掺质物种。在一些其他实施方式中,第一外延层与第二外延层可掺杂不同掺质物种。第二外延层的掺杂浓度可大于第一外延层的掺杂浓度,以降低接点电阻。虽然不自内侧间隔物结构238与衬垫层220的表面外延成长源极/漏极结构240,过成长的源极/漏极结构240可覆盖并接触内侧间隔物结构238与衬垫层220的表面。源极/漏极结构240位于与虚置栅极堆叠230之下的通道区相邻的源极/漏极区中。
78.图15至图17是工件200的部分剖视图。图15是图14所示的剖面i-i'的剖视图。图16是图15所示的剖面ii-ii'的剖视图,其穿过源极/漏极区212sd。图17是图15所示的剖面iii-iii'的剖视图,其穿过通道区212c。
79.如图1、图15、及图16所示,方法100的步骤126移除虚置栅极堆叠230。步骤126可沉积接点蚀刻停止层250、沉积层间介电层252于接点蚀刻停止层250上、进行退火制程、平坦化工件200、并选择性移除虚置栅极堆叠230。在制程的例子中,可先顺应性沉积接点蚀刻停止层250于工件200上,接着毯覆性沉积层间介电层252于接点蚀刻停止层250上。接点蚀刻停止层250可包含氮化硅、氧化硅、氮氧化硅、及/或本技术领域已知的其他材料。接点蚀刻停止层250的沉积方法可采用原子层沉积、等离子体辅助化学气相沉积制程、及/或其他合适的沉积或氧化制程。在一些实施例中,层间介电层252的材料可包括四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、掺杂的氧化硅(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、及/或其他合适的介电材料。层间介电层252的沉积方法可为旋转涂布、可流动的化学气相沉积制程、或其他合适的沉积技术。在一些实施例中,形成层间介电层252之后可退火工件200,以改善层间介电层252的完整性。为了移除多余材料并露出虚置栅极堆叠230的虚置栅极232的上表面,可对工件200进行平坦化制程如化学机械研磨制程以提供平坦上表面。平坦上表面可露出虚置栅极232的上表面。
80.虽然未图示,步骤126亦可选择性移除虚置栅极堆叠230。在平坦化步骤露出虚置栅极堆叠230之后,可由选择性蚀刻制程自工件200移除虚置栅极堆叠230。选择性蚀刻制程可为选择性湿蚀刻制程、选择性干蚀刻制程、或上述的组合。在所述实施例中,选择性蚀刻制程可选择性移除虚置介电层231与虚置栅极232,且实质上不损伤盖层224与填充层222。移除虚置栅极堆叠230可形成栅极沟槽于通道区上。
81.如图1、图15、及图17所示,方法100的步骤128移除通道区中的牺牲层206与覆层218,以释放通道组件2080。在移除虚置栅极堆叠230之后,可露出栅极沟槽中的通道区中的通道层208、牺牲层206、与覆层218。由于牺牲层206与覆层218的组成类似,可选择性移除通道层208之间露出的牺牲层206与覆层218,以释放通道层208而形成通道组件2080,如图15及图17所示。通道组件2080可沿着z方向垂直堆叠。选择性移除牺牲层206与覆层218的方法可为选择性干蚀刻、选择性湿蚀刻、或其他选择性蚀刻制程。在一些实施例中,选择性湿蚀刻包括氢氧化铵、过氧化氢、与水的混合物蚀刻。在一些其他实施例中,选择性移除包括氧化硅锗之后,移除硅锗的氧化物。举例来说,臭氧清洁可提供氧化,接着以蚀刻剂如氢氧化铵移除硅锗的氧化物。由于移除通道区中的牺牲层206与覆层218,可露出栅极沟槽中的衬
垫层220、通道组件2080、底部212b的上表面、与隔离结构216。如图15所示,当底部3000过厚时,最底部的内侧间隔物结构238不具有足够厚度以抵挡步骤128的选择性蚀刻。若损伤或蚀穿最底部的内侧间隔物结构238,则栅极结构260可接触或短接至源极/漏极结构240。如图1、图15、及图17所示,方法100的步骤130形成栅极结构260以包覆每一通道组件2080。栅极结构260可包含栅极介电层262位于通道组件2080与基板202上,以及栅极层264位于栅极介电层262上。栅极介电层262可包含界面层与高介电常数的介电层。在一些实施例中,界面层可包含氧化硅,且其形成方法可为预清洁制程。预清洁制程的例子可采用rca的第一标准清洁试剂(如氨、过氧化氢、与水)及/或rca的第二标准清洁试剂(如氯化氢、过氧化氢、与水)。预清洁制程可氧化通道组件2080与基板202的露出表面,以形成界面层。接着沉积高介电常数的介电层于界面层上,且沉积方法可采用原子层沉积、化学气相沉积、及/或其他合适方法。高介电常数的介电层可包含高介电常数的介电材料。举例来说,此处所用的高介电常数的介电材料的介电常数大于热氧化硅的介电常数(约3.9)。在一实施例中,高介电常数的介电层可包含氧化铪。高介电常数的介电层可改为包含其他高介电常数的介电层,比如氧化钛、氧化铪锆、氧化钽、氧化铪硅、二氧化锆、氧化锆硅、氧化镧、氧化铝、氧化锆、氧化钇、钛酸锶、钛酸钡、氧化钡锆、氧化铪镧、氧化镧硅、氧化铝硅、氧化铪钽、氧化铪钛、钛酸钡锶、氮化硅、氮氧化硅、上述的组合、或其他合适材料。在形成或沉积栅极介电层262之后,可沉积栅极层264于栅极介电层262上。栅极层264可为多层结构,其包含至少一功函数层与金属填充层。举例来说,至少一功函数层可包括氮化钛、钛铝、氮化钛铝、氮化钽、钽铝、氮化钽铝、碳化钽铝、碳氮化钽、或碳化钽。金属填充层可包含铝、钨、镍、钛、钌、钴、铂、氮化钽硅、铜、其他耐火金属、其他合适的金属材料、或上述的组合。在多种实施例中,栅极层264的形成方法可为原子层沉积、物理气相沉积、化学气相沉积、电子束蒸镀、或其他合适制程。在多种实施例中,可进行平坦化制程如化学机械研磨制程,以移除多余材料而提供栅极结构的实质上平坦上表面。如图15及图17所示,栅极结构260包覆每一通道组件2080。介电鳍状物1000可将栅极结构260的下侧部分分成多个部分。
82.图15所示的多桥通道晶体管280包括垂直堆叠的通道组件2080于通道区212c中。垂直堆叠的通道组件2080可延伸于源极/漏极区212sd中的源极/漏极结构240之间。栅极结构260包覆每一垂直堆叠的通道组件2080。修整的盖层224'具有第二宽度w2,如图16所示。在步骤116选择性修整盖层224时,虚置栅极堆叠230可保护栅极结构260之下的盖层224的部分,栅极结构之下的盖层224的上表面仍维持第一宽度w1,如图17所示。覆层218的底部3000可与源极/漏极结构240、衬垫层220、半导体衬垫层214、与隔离结构216交界,如图16所示。
83.本发明实施例比现有制程提供更多优点。然而应理解其他实施例可提供额外优点、此处不必说明所有优点,且所有实施例不必具有特定优点。举例来说,本发明实施例公开的制程可选择性修整虚置栅极堆叠未覆盖的介电鳍状物的一部分。修整步骤可减少介电鳍状物的宽度。减少的宽度可产生至少一栅极间隔物层所用的空间,并避免至少一栅极间隔物阻碍非等向蚀刻覆层。如此一来,修整介电鳍状物可更完全地移除覆层,以避免内侧间隔物结构的厚度不足,进而减少栅极结构与源极/漏极结构之间的短接几率。
84.本发明一例示性的实施例关于半导体装置。半导体装置包括介电鳍状物,其包括盖层;栅极结构,位于盖层的第一部分上并沿着一方向延伸;以及介电层,与栅极结构相邻
并位于盖层的第二部分上。第一部分沿着方向的宽度,大于第二部分沿着方向的宽度。
85.在一些实施例中,栅极结构包括栅极介电层与栅极位于栅极介电层上。栅极介电层接触盖层的第一部分。在一些实施例中,半导体装置还包括接点蚀刻停止层位于介电层与盖层的第二部分之间。在一些例子中,盖层包括氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、或氧化铪。在一些实施例中,半导体装置还包括:多个第一通道组件与多个第二通道组件;第一源极/漏极结构,耦接至第一通道组件;以及第二源极/漏极结构,耦接至第二通道组件。栅极结构包覆每一第一通道组件与第二通道组件,且介电层位于第一源极/漏极结构与第二源极/漏极结构上。在一些实施例中,介电鳍状物位于第一通道组件与第二通道组件之间,且介电鳍状物亦位于第一源极/漏极结构与第二源极/漏极结构之间。在一些例子中,介电鳍状物位于隔离结构上,且隔离结构的上表面、介电鳍状物的侧壁、与第一源极/漏极结构定义空间。在一些实施例中,空间包括半导体材料。在一些实施方式中,半导体材料包括硅锗。
86.本发明另一例示性的实施例关于半导体装置的形成方法。方法包括接收工件,其包括:第一鳍状结构与第二鳍状结构,第一鳍状物结构与第二鳍状结构包括通道区与源极/漏极区;以及介电鳍状物,位于第一鳍状结构与第二鳍状结构之间。方法还包括沉积虚置栅极堆叠于通道区与介电鳍状物的第一部分上;选择性蚀刻不在虚置栅极堆叠之下的介电鳍状物的第二部分;在选择性蚀刻之后,沉积栅极间隔物层于介电鳍状物的第二部分与虚置栅极堆叠的侧壁上;以及蚀刻源极/漏极区以形成源极/漏极开口。
87.在一些实施例中,虚置栅极堆叠沿着方向延伸。选择性蚀刻之后,第一部分沿着方向的宽度大于第二部分沿着方向的宽度。在一些实施例中,介电鳍状物包括盖层,且盖层包括氮化硅、碳化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮化铝、氮氧化铝、氧化锆、氮化锆、氧化锆铝、或氧化铪。在一些实施方式中,介电鳍状物还包括填充层位于盖层之下,以及衬垫层沿着填充层的侧壁。在一些例子中,选择性蚀刻的步骤蚀刻盖层。在一些实施例中,工件还包括覆层沿着第一鳍状结构与第二鳍状结构的侧壁,且选择性蚀刻的步骤使盖层的一部分低于覆层的上表面。
88.本发明又一例示性的实施例关于半导体装置的形成方法。方法包括接收工件,其包括:第一鳍状结构与第二鳍状结构,第一鳍状结构与第二鳍状结构包括通道区与源极/漏极区;隔离结构,位于第一鳍状结构与第二鳍状结构之间;介电鳍状物,位于隔离结构上;覆层,位于第一鳍状结构与第二鳍状结构的侧壁上;以及虚置栅极堆叠,位于通道区上。方法还包括选择性蚀刻介电鳍状物的第二部分,而虚置栅极堆叠覆盖介电鳍状物的第一部分;在选择性蚀刻之后,沉积栅极间隔物层于介电鳍状物的第二部分与虚置栅极堆叠的侧壁上;蚀刻源极/漏极区与覆层,以形成源极/漏极开口;以及沉积源极/漏极结构于源极/漏极开口中。
89.在一些实施例中,方法还包括:沉积接点蚀刻停止层于源极/漏极结构与介电鳍状物的第二部分上;沉积介电层于接点蚀刻停止层上;以及移除虚置栅极堆叠以露出介电鳍状物的第一部分、覆层、第一鳍状结构的侧壁、与第二鳍状结构的侧壁。每一第一鳍状结构与第二鳍状结构包括交错的多个通道层与多个牺牲层。在一些实施例中,方法还包括:在移除虚置栅极堆叠之后,选择性移除多个牺牲层以释放多个通道层而作为通道组件;以及形成栅极结构以包覆每一通道组件。在一些实施例中,选择性蚀刻之后,第一部分的宽度大于
第二部分的宽度。在一些例子中,介电鳍状物包括盖层;其中盖层包括高介电常数的介电材料。
90.上述实施例的特征有利于本技术领域中具有通常知识者理解本发明。本技术领域中具有通常知识者应理解可采用本发明作基础,设计并变化其他制程与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中具有通常知识者亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。
再多了解一些

本文用于企业家、创业者技术爱好者查询,结果仅供参考。

发表评论 共有条评论
用户名: 密码:
验证码: 匿名发表

相关文献